CN102405533A - 用于光学透明基板的抗反射涂层 - Google Patents
用于光学透明基板的抗反射涂层 Download PDFInfo
- Publication number
- CN102405533A CN102405533A CN2010800172760A CN201080017276A CN102405533A CN 102405533 A CN102405533 A CN 102405533A CN 2010800172760 A CN2010800172760 A CN 2010800172760A CN 201080017276 A CN201080017276 A CN 201080017276A CN 102405533 A CN102405533 A CN 102405533A
- Authority
- CN
- China
- Prior art keywords
- coating solution
- residue
- polymer
- coating
- alkoxy silane
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 239000000758 substrate Substances 0.000 title claims description 26
- 239000006117 anti-reflective coating Substances 0.000 title abstract description 5
- 238000000576 coating method Methods 0.000 claims abstract description 116
- 239000011248 coating agent Substances 0.000 claims abstract description 112
- 229910000077 silane Inorganic materials 0.000 claims abstract description 49
- -1 alkoxy silane Chemical compound 0.000 claims abstract description 40
- 239000000463 material Substances 0.000 claims abstract description 36
- 238000000034 method Methods 0.000 claims abstract description 33
- 229920000642 polymer Polymers 0.000 claims description 49
- 230000003287 optical effect Effects 0.000 claims description 47
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 claims description 26
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 claims description 21
- 239000002245 particle Substances 0.000 claims description 20
- 229910052751 metal Inorganic materials 0.000 claims description 15
- 239000002184 metal Substances 0.000 claims description 15
- 239000003054 catalyst Substances 0.000 claims description 14
- 150000004703 alkoxides Chemical group 0.000 claims description 10
- 239000002904 solvent Substances 0.000 claims description 10
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 claims description 10
- 230000015572 biosynthetic process Effects 0.000 claims description 6
- 239000004065 semiconductor Substances 0.000 claims description 5
- 238000005507 spraying Methods 0.000 claims description 5
- 238000009826 distribution Methods 0.000 claims description 4
- 238000002454 metastable transfer emission spectrometry Methods 0.000 claims description 3
- 125000001453 quaternary ammonium group Chemical group 0.000 claims description 3
- 238000003860 storage Methods 0.000 claims description 3
- XLYOFNOQVPJJNP-UHFFFAOYSA-M hydroxide Chemical compound [OH-] XLYOFNOQVPJJNP-UHFFFAOYSA-M 0.000 claims description 2
- 239000007788 liquid Substances 0.000 claims description 2
- FWDBOZPQNFPOLF-UHFFFAOYSA-N ethenyl(triethoxy)silane Chemical compound CCO[Si](OCC)(OCC)C=C FWDBOZPQNFPOLF-UHFFFAOYSA-N 0.000 claims 2
- CPUDPFPXCZDNGI-UHFFFAOYSA-N triethoxy(methyl)silane Chemical compound CCO[Si](C)(OCC)OCC CPUDPFPXCZDNGI-UHFFFAOYSA-N 0.000 claims 2
- 230000008569 process Effects 0.000 abstract description 5
- 238000006555 catalytic reaction Methods 0.000 abstract 1
- 239000000243 solution Substances 0.000 description 63
- 239000000203 mixture Substances 0.000 description 23
- 238000006243 chemical reaction Methods 0.000 description 19
- CSCPPACGZOOCGX-UHFFFAOYSA-N Acetone Chemical compound CC(C)=O CSCPPACGZOOCGX-UHFFFAOYSA-N 0.000 description 18
- KFZMGEQAYNKOFK-UHFFFAOYSA-N Isopropanol Chemical compound CC(C)O KFZMGEQAYNKOFK-UHFFFAOYSA-N 0.000 description 18
- 239000011521 glass Substances 0.000 description 15
- 210000002945 adventitial reticular cell Anatomy 0.000 description 13
- 235000019593 adhesiveness Nutrition 0.000 description 11
- 239000002585 base Substances 0.000 description 11
- 239000010408 film Substances 0.000 description 11
- 239000000376 reactant Substances 0.000 description 11
- 238000012360 testing method Methods 0.000 description 11
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 11
- 230000005540 biological transmission Effects 0.000 description 9
- 238000010790 dilution Methods 0.000 description 7
- 239000012895 dilution Substances 0.000 description 7
- VDZOOKBUILJEDG-UHFFFAOYSA-M tetrabutylammonium hydroxide Substances [OH-].CCCC[N+](CCCC)(CCCC)CCCC VDZOOKBUILJEDG-UHFFFAOYSA-M 0.000 description 7
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 description 6
- 210000004027 cell Anatomy 0.000 description 6
- 239000010410 layer Substances 0.000 description 6
- LLHKCFNBLRBOGN-UHFFFAOYSA-N propylene glycol methyl ether acetate Chemical compound COCC(C)OC(C)=O LLHKCFNBLRBOGN-UHFFFAOYSA-N 0.000 description 6
- GRYLNZFGIOXLOG-UHFFFAOYSA-N Nitric acid Chemical compound O[N+]([O-])=O GRYLNZFGIOXLOG-UHFFFAOYSA-N 0.000 description 5
- 239000007864 aqueous solution Substances 0.000 description 5
- 229910017604 nitric acid Inorganic materials 0.000 description 5
- 238000002360 preparation method Methods 0.000 description 5
- 238000001228 spectrum Methods 0.000 description 5
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 4
- 239000002390 adhesive tape Substances 0.000 description 4
- GAURFLBIDLSLQU-UHFFFAOYSA-N diethoxy(methyl)silicon Chemical compound CCO[Si](C)OCC GAURFLBIDLSLQU-UHFFFAOYSA-N 0.000 description 4
- YYLGKUPAFFKGRQ-UHFFFAOYSA-N dimethyldiethoxysilane Chemical compound CCO[Si](C)(C)OCC YYLGKUPAFFKGRQ-UHFFFAOYSA-N 0.000 description 4
- 238000005516 engineering process Methods 0.000 description 4
- 238000004519 manufacturing process Methods 0.000 description 4
- 238000005259 measurement Methods 0.000 description 4
- XEKOWRVHYACXOJ-UHFFFAOYSA-N Ethyl acetate Chemical compound CCOC(C)=O XEKOWRVHYACXOJ-UHFFFAOYSA-N 0.000 description 3
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 3
- WYURNTSHIVDZCO-UHFFFAOYSA-N Tetrahydrofuran Chemical compound C1CCOC1 WYURNTSHIVDZCO-UHFFFAOYSA-N 0.000 description 3
- 239000002253 acid Substances 0.000 description 3
- 230000008859 change Effects 0.000 description 3
- 239000011247 coating layer Substances 0.000 description 3
- 238000007710 freezing Methods 0.000 description 3
- 230000008014 freezing Effects 0.000 description 3
- 239000007787 solid Substances 0.000 description 3
- ARXJGSRGQADJSQ-UHFFFAOYSA-N 1-methoxypropan-2-ol Chemical compound COCC(C)O ARXJGSRGQADJSQ-UHFFFAOYSA-N 0.000 description 2
- BMTAFVWTTFSTOG-UHFFFAOYSA-N Butylate Chemical compound CCSC(=O)N(CC(C)C)CC(C)C BMTAFVWTTFSTOG-UHFFFAOYSA-N 0.000 description 2
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical class [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 2
- XEEYBQQBJWHFJM-UHFFFAOYSA-N Iron Chemical compound [Fe] XEEYBQQBJWHFJM-UHFFFAOYSA-N 0.000 description 2
- 239000002202 Polyethylene glycol Substances 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 238000001816 cooling Methods 0.000 description 2
- 238000009472 formulation Methods 0.000 description 2
- 229910052739 hydrogen Inorganic materials 0.000 description 2
- 239000001257 hydrogen Substances 0.000 description 2
- 230000003301 hydrolyzing effect Effects 0.000 description 2
- 238000002156 mixing Methods 0.000 description 2
- 239000000178 monomer Substances 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- 238000010422 painting Methods 0.000 description 2
- 238000006116 polymerization reaction Methods 0.000 description 2
- OGHBATFHNDZKSO-UHFFFAOYSA-N propan-2-olate Chemical compound CC(C)[O-] OGHBATFHNDZKSO-UHFFFAOYSA-N 0.000 description 2
- 230000035484 reaction time Effects 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 238000007764 slot die coating Methods 0.000 description 2
- 239000005361 soda-lime glass Substances 0.000 description 2
- 230000003595 spectral effect Effects 0.000 description 2
- 238000004528 spin coating Methods 0.000 description 2
- 238000003756 stirring Methods 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- DZLFLBLQUQXARW-UHFFFAOYSA-N tetrabutylammonium Chemical compound CCCC[N+](CCCC)(CCCC)CCCC DZLFLBLQUQXARW-UHFFFAOYSA-N 0.000 description 2
- VXUYXOFXAQZZMF-UHFFFAOYSA-N titanium(IV) isopropoxide Chemical group CC(C)O[Ti](OC(C)C)(OC(C)C)OC(C)C VXUYXOFXAQZZMF-UHFFFAOYSA-N 0.000 description 2
- SMZOUWXMTYCWNB-UHFFFAOYSA-N 2-(2-methoxy-5-methylphenyl)ethanamine Chemical compound COC1=CC=C(C)C=C1CCN SMZOUWXMTYCWNB-UHFFFAOYSA-N 0.000 description 1
- NIXOWILDQLNWCW-UHFFFAOYSA-N 2-Propenoic acid Natural products OC(=O)C=C NIXOWILDQLNWCW-UHFFFAOYSA-N 0.000 description 1
- 206010001513 AIDS related complex Diseases 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- UWHCKJMYHZGTIT-UHFFFAOYSA-N Tetraethylene glycol, Natural products OCCOCCOCCOCCO UWHCKJMYHZGTIT-UHFFFAOYSA-N 0.000 description 1
- MCEBKLYUUDGVMD-UHFFFAOYSA-N [SiH3]S(=O)=O Chemical compound [SiH3]S(=O)=O MCEBKLYUUDGVMD-UHFFFAOYSA-N 0.000 description 1
- JLFZXEWJEUGNQC-UHFFFAOYSA-N [methyl-(silylamino)silyl]methane Chemical compound C[SiH](C)N[SiH3] JLFZXEWJEUGNQC-UHFFFAOYSA-N 0.000 description 1
- 239000000853 adhesive Substances 0.000 description 1
- 230000001070 adhesive effect Effects 0.000 description 1
- 239000003513 alkali Substances 0.000 description 1
- 239000000908 ammonium hydroxide Substances 0.000 description 1
- 238000004458 analytical method Methods 0.000 description 1
- 238000004630 atomic force microscopy Methods 0.000 description 1
- PDRQZRNOXMTBLB-UHFFFAOYSA-N butan-1-ol;hafnium Chemical compound [Hf].CCCCO PDRQZRNOXMTBLB-UHFFFAOYSA-N 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 239000012141 concentrate Substances 0.000 description 1
- 238000012937 correction Methods 0.000 description 1
- 238000000151 deposition Methods 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- UCQFCFPECQILOL-UHFFFAOYSA-N diethyl hydrogen phosphate Chemical compound CCOP(O)(=O)OCC UCQFCFPECQILOL-UHFFFAOYSA-N 0.000 description 1
- LXKQBFONQWKVLW-UHFFFAOYSA-N diethyl hydrogen phosphate;triethoxy(ethyl)silane Chemical compound CCOP(O)(=O)OCC.CCO[Si](CC)(OCC)OCC LXKQBFONQWKVLW-UHFFFAOYSA-N 0.000 description 1
- 239000003085 diluting agent Substances 0.000 description 1
- 239000012153 distilled water Substances 0.000 description 1
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 1
- 239000005329 float glass Substances 0.000 description 1
- 239000012634 fragment Substances 0.000 description 1
- 238000005227 gel permeation chromatography Methods 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 238000005984 hydrogenation reaction Methods 0.000 description 1
- 230000007062 hydrolysis Effects 0.000 description 1
- 238000006460 hydrolysis reaction Methods 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 229910052742 iron Inorganic materials 0.000 description 1
- 239000011159 matrix material Substances 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000005457 optimization Methods 0.000 description 1
- 230000005693 optoelectronics Effects 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- 239000003973 paint Substances 0.000 description 1
- 230000005622 photoelectricity Effects 0.000 description 1
- 230000000704 physical effect Effects 0.000 description 1
- 239000004033 plastic Substances 0.000 description 1
- 229920003023 plastic Polymers 0.000 description 1
- 229920001223 polyethylene glycol Polymers 0.000 description 1
- 239000002861 polymer material Substances 0.000 description 1
- 238000004321 preservation Methods 0.000 description 1
- 238000012545 processing Methods 0.000 description 1
- ZGSOBQAJAUGRBK-UHFFFAOYSA-N propan-2-olate;zirconium(4+) Chemical compound [Zr+4].CC(C)[O-].CC(C)[O-].CC(C)[O-].CC(C)[O-] ZGSOBQAJAUGRBK-UHFFFAOYSA-N 0.000 description 1
- 125000001436 propyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- 150000003856 quaternary ammonium compounds Chemical class 0.000 description 1
- 230000001105 regulatory effect Effects 0.000 description 1
- 150000003839 salts Chemical class 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 230000003746 surface roughness Effects 0.000 description 1
- 238000005496 tempering Methods 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 238000002834 transmittance Methods 0.000 description 1
- DENFJSAFJTVPJR-UHFFFAOYSA-N triethoxy(ethyl)silane Chemical compound CCO[Si](CC)(OCC)OCC DENFJSAFJTVPJR-UHFFFAOYSA-N 0.000 description 1
- YUYCVXFAYWRXLS-UHFFFAOYSA-N trimethoxysilane Chemical compound CO[SiH](OC)OC YUYCVXFAYWRXLS-UHFFFAOYSA-N 0.000 description 1
- 238000002371 ultraviolet--visible spectrum Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L31/00—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
- H01L31/02—Details
- H01L31/0216—Coatings
- H01L31/02161—Coatings for devices characterised by at least one potential jump barrier or surface barrier
- H01L31/02167—Coatings for devices characterised by at least one potential jump barrier or surface barrier for solar cells
- H01L31/02168—Coatings for devices characterised by at least one potential jump barrier or surface barrier for solar cells the coatings being antireflective or having enhancing optical properties for the solar cells
-
- C—CHEMISTRY; METALLURGY
- C03—GLASS; MINERAL OR SLAG WOOL
- C03C—CHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
- C03C17/00—Surface treatment of glass, not in the form of fibres or filaments, by coating
- C03C17/28—Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material
- C03C17/30—Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material with silicon-containing compounds
-
- C—CHEMISTRY; METALLURGY
- C09—DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
- C09D—COATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
- C09D5/00—Coating compositions, e.g. paints, varnishes or lacquers, characterised by their physical nature or the effects produced; Filling pastes
-
- G—PHYSICS
- G02—OPTICS
- G02B—OPTICAL ELEMENTS, SYSTEMS OR APPARATUS
- G02B1/00—Optical elements characterised by the material of which they are made; Optical coatings for optical elements
- G02B1/10—Optical coatings produced by application to, or surface treatment of, optical elements
- G02B1/11—Anti-reflection coatings
- G02B1/111—Anti-reflection coatings using layers comprising organic materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L31/00—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
- H01L31/02—Details
- H01L31/0216—Coatings
- H01L31/02161—Coatings for devices characterised by at least one potential jump barrier or surface barrier
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L31/00—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
- H01L31/04—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
- H01L31/042—PV modules or arrays of single PV cells
-
- C—CHEMISTRY; METALLURGY
- C03—GLASS; MINERAL OR SLAG WOOL
- C03C—CHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
- C03C2217/00—Coatings on glass
- C03C2217/70—Properties of coatings
- C03C2217/73—Anti-reflective coatings with specific characteristics
- C03C2217/732—Anti-reflective coatings with specific characteristics made of a single layer
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y02—TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
- Y02E—REDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
- Y02E10/00—Energy generation through renewable energy sources
- Y02E10/50—Photovoltaic [PV] energy
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y02—TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
- Y02E—REDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
- Y02E10/00—Energy generation through renewable energy sources
- Y02E10/50—Photovoltaic [PV] energy
- Y02E10/52—PV systems with concentrators
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/25—Web or sheet containing structurally defined element or component and including a second component containing structurally defined particles
- Y10T428/254—Polymeric or resinous material
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/31504—Composite [nonstructural laminate]
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/31504—Composite [nonstructural laminate]
- Y10T428/31652—Of asbestos
- Y10T428/31663—As siloxane, silicone or silane
Abstract
本发明描述了抗反射涂层和涂层溶液,光学透明元件以及制备抗反射涂层和涂层溶液的改进工艺。所述抗反射涂层由至少两种不同的烷氧基硅烷材料经碱催化反应形成。
Description
相关申请
本申请主张享有根据美国法典35U.S.C§119(e)条具有的、2009年6月10日提交的、临时申请序列号为:61/268231、标题为“ANTI-REFLECTIVECOATINGS FOR SOLAR MODULE GLASS AND SOLAR CELLS AND LENS(用于太阳能模块玻璃和太阳能电池和透镜的抗反射涂层)”的利益。该申请全文在此以引用方式引入。
技术领域
本申请一般涉及用于光学透明元件的抗反射涂层,且更具体地涉及用于在光电电池应用中使用的玻璃盖板的抗反射涂层。
背景技术
抗反射(AR)涂层被应用于包括光电(PV)模块制造的数种工业中,以降低当光穿过光学透明元件如玻璃时入射光的反射率。AR涂层的目标是折射率尽可能接近1.23以使宽波长带的光的透射最大化。
一层或多层低折射率涂层能够提高宽波长范围和宽范围的入射角度下的透射率。这些涂层可以以溶胶-凝胶材料形式沉积(在大气压力下或非真空下)形成,并且是高度成本有效的。已经有报道,可以由二氧化硅溶胶-凝胶通过常规涂覆技术施涂在玻璃盖板上而形成的这些薄的抗反射涂层,使光谱中可见光波段的阳光透射率提高了百分之二到百分之三。这样的溶胶-凝胶已经由数种机理形成,包括通过烷氧基硅烷的水解/缩合反应。参见,例如,G.Wu等,“A novelroute to control refractive index of sol-gel derived nanoporous films used as broadbandantireflective coatings(控制用作宽带抗反射涂层的溶胶-凝胶衍生纳米多孔膜的折射率的新方法)”,Materials Scinece and Engineering(材料科学与工程)B78(2000),第135-139页。不管怎样,由二氧化硅涂层形成的AR涂层将受益于提高的硬度、粘合性、贮藏寿命和/或工艺效率。
发明内容
这里公开的实施方案涉及AR涂层和涂层溶液,光敏元件如应用AR涂层的光电模块,和用于制备AR涂层和涂层溶液的改进工艺。
第一个实例提供了一个光学透明元件,其包括光学透明基板;和设置在光学透明基板的至少一个表面上的抗反射涂层,该抗反射涂层包含聚合物,该聚合物包含至少一个四烷氧基硅烷残基;和选自由三烷氧基硅烷,二烷氧基硅烷,单烷氧基硅烷及其组合构成的组中的至少一个第二种烷氧基硅烷残基,其中该聚合物相对于聚合物的总摩尔量含有至少50%摩尔百分含量的该至少一个四烷氧基硅烷残基,且该涂层含有平均尺寸不大于100nm的的聚合物粒子。
第二实例提供了权利要求1的光学透明元件,其中所述至少一个烷氧基硅烷残基是四乙氧基硅烷。第三实例提供了如实例1-2任何一项的光学透明元件,其中该第二种烷氧基硅烷残基选自由甲基三乙氧基硅烷和乙烯基三乙氧基硅烷构成的组。第四实例提供了如实例1-3任何一项的光学透明元件,其中该至少一个四烷氧基硅烷残基是四乙氧基硅烷且该第二种烷氧基硅烷是甲基三乙氧基硅烷。
第五实例提供了如实例四的光学透明元件,进一步包含乙烯基三乙氧基硅烷残基。第六实例提供了如实例1-5任何一项的光学透明元件,其中聚合物基本由四乙氧基硅烷残基和甲基三乙氧基硅烷残基组成且具有15到100nm的平均粒子尺寸。第七实例提供了如实例1-6任何一项的光学透明元件,其中该聚合物进一步含有金属醇盐残基。
第八实例提供了如实例1-7任何一项的光学透明元件,其中该聚合物进一步含有异丙氧基钛残基。第九实例提供了如实例1-8任何一项的光学透明元件,其包含至少约20%摩尔百分含量的所述第二种烷氧基硅烷残基。第十实例提供了如实例1-9任何一项的光学透明元件,其包含约20%摩尔百分含量到40%摩尔百分含量的所述第二种烷氧基硅烷残基。
第十一实例提供了一种光电模块,其包括至少一层半导体层;设置在该至少一层半导体层上的光学透明层;和设置在该光学透明基板的至少一个表面上的抗反射涂层,该抗反射涂层包含聚合物,该聚合物包含至少一个四烷氧基硅烷残基;和选自由三烷氧基硅烷、二烷氧基硅烷、单烷氧基硅烷及其组合构成的组中的至少一个第二种烷氧基硅烷残基,其中该聚合物含有相对于聚合物的总摩尔量至少50%摩尔百分比的该至少一个四烷氧基硅烷残基,且该涂层含有平均尺寸不大于100nm的聚合物粒子。
第十二实例提供了如实例11的光电模块,其中该至少一个四烷氧基硅烷是四乙氧基硅烷和该第二种烷氧基硅烷残基选自由甲基三乙氧基硅烷和乙烯基三乙氧基硅烷及其组合构成的组。
第十三实例提供了一种制备涂层溶液的方法,其包括混合至少第一种四烷氧基硅烷材料,第二种烷氧基硅烷材料,碱催化剂和溶剂来形成涂层,其中该第二种烷氧基硅烷材料选自由三烷氧基硅烷,二烷氧基硅烷,单烷氧基硅烷及其组合构成的组;在足够的温度下保持涂层溶液的pH值一段充分的时间以形成具有约15nm到约100nm平均粒子尺寸的聚合物粒子;和降低涂层溶液的pH值到低于约5.0。
第十四实例提供了如实例13的制备方法,其中该碱催化剂包含至少一种季铵。
第十五实例提供了如实例13-14任何一项的方法,其中该碱催化剂包含四丁基铵氢氧化物、四甲基铵氢氧化物或其组合。第十六实例提供了如实例13-15任何一项的方法,其中该涂层溶液在降低pH值之前被维持在约35℃到约70℃之间约1小时到约5小时。
第十七实例提供了如实例13-16任何一项的方法,其中该溶液含有水。第十八实例提供了如实例13-17任何一项的方法,其中pH值被降至不大于2.0。第十九实例提供了如实例13-18任何一项的方法,其进一步包括存储该涂层溶液至少约24小时的步骤。第二十实例提供了如实例13-19任何一项的方法,其进一步包括冷冻该涂层溶液的步骤。
第二十一实例提供了一种形成光学透明元件的方法,其包括分配涂层溶液至光学透明基板上,该涂层溶液由以下步骤形成:混合至少第一种四烷氧基硅烷材料,第二种烷氧基硅烷材料,碱催化剂和溶剂,其中该第二种烷氧基硅烷材料选自由三烷氧基硅烷,二烷氧基硅烷,单烷氧基硅烷及其组合构成的组,在足够的温度下维持涂层溶液的pH值一段充分的时间以形成具有约15nm到约100nm平均粒子尺寸的聚合物粒子,和降低涂层溶液的pH值到低于约5.0;和固化该分配的涂层溶液以在光学透明基板上形成抗反射涂层。
第二十二实例提供了如实例21的方法,其中该涂层溶液由喷雾分配。第二十三实例提供了如实例21-22任何一项的方法,其中在分配之前,该涂层溶液由冷冻状态转变至液态。第二十四实例提供了由实例21-23任何一项的方法制备的光学透明元件。
第二十五实例提供了一种抗反射涂层溶液,其包含聚合物,该聚合物包含:至少一个四烷氧基硅烷残基,和选自由三烷氧基硅烷,二烷氧基硅烷,单烷氧基硅烷及其组合构成的组中的至少一个第二种烷氧基硅烷残基,其中该聚合物相对于聚合物的总摩尔量含有至少50%摩尔百分含量的该至少一个四烷氧基硅烷残基,且该涂层含有平均尺寸不大于100nm的聚合物粒子;溶剂,其中该涂层溶液具有的聚合物粒子浓度最高约25wt%和粘度不大于2.0cP,且其中该涂层溶液在25℃下至少24小时具有不大于2.0cP的粘度和不大于5.0的pH值。
第二十六实例提供了如实例25的抗反射涂层溶液,其中该聚合物基本由四乙氧基硅烷残基和甲基三乙氧基硅烷残基组成。
附图说明
图1是依据本发明一个实施方案的制备含有AR涂层的光学透明元件的方法的流程图。
图2是依据本发明一个实施方案的含有AR涂层的光电电池的示意图。
图3是依据本发明一个实施方案的聚合物分子片段的示意图。
发明详述
图1是依据一个实施方案形成AR涂层溶液和光学透明元件的方法10的流程图。根据该方法10,AR涂层溶液通过在溶剂中并在碱催化剂存在下在合适的反应条件下混合至少两种不同烷氧基硅烷材料,从而引起所述烷氧基硅烷材料的聚合(方块20)来形成。该生成的AR涂层溶液包含具有至少两种不同烷氧基硅烷残基的聚合物。
在混合所述烷氧基硅烷材料后,可以将酸加入到该AR涂层溶液中以调节该溶液的pH值(方块30),和/或所述AR涂层溶液可以进一步与至少一种额外的溶剂混合(方块40)。在一个实施方案中,示例的AR涂层溶液的形成不使用制孔剂,如聚乙二醇或聚环氧乙烷,它们在热处理步骤中蒸发而形成孔隙。另外,所述AR涂层溶液的形成不用象在其它反应方法中所需要的那样必需从反应溶液中过滤出生成的聚合物或者去除溶液中的成分。
所述AR涂层溶液被分配到光学透明基板上,如玻璃基板(如,碱石灰玻璃,浮法玻璃,硼硅酸盐和低铁碱石灰玻璃),塑料盖板,丙烯酸菲涅耳(Fresnel)透镜或其它光学透明基板(方块50)。所述AR涂层溶液随后被固化以在光学透明基板上形成AR涂层(方块60)。
各种市售可得的烷氧基硅烷可以被用于形成AR涂层溶液。构成所述至少两种烷氧基硅烷材料中的第一种的合适的烷氧基硅烷包括四烷氧基硅烷,其可以含有一个或多个乙氧基,甲氧基,和/或丙氧基基团以及氢,甲基,乙基或丙基基团。在一个实施方案中,所述烷氧基硅烷材料的至少一种是四乙氧基硅烷(TEOS)。
第二种烷氧基硅烷材料可以被加入以提高改进的AR涂层的粘合性和/或其它改进的涂层性质。这些材料的实例包括三烷氧基硅烷,例如甲基三乙氧基硅烷(MTEOS),氨基丙基三乙氧基硅烷(APTEOS)和三氟甲磺酸-APTEOS盐,乙烯基三乙氧基硅烷(VTEOS),和二乙基磷酸(phosphato)乙基三乙氧基硅烷。实例还包括二烷氧基硅烷如甲基二乙氧基硅烷(MDEOS),二甲基二乙氧基硅烷(DMDEOS)和苯基二乙氧基硅烷(PDEOS)。合适的单烷氧基硅烷包括三甲氧基硅烷例如(3-环氧丙氧基(glycidoxy)丙基)-三甲氧基硅烷。碳硅烷(carbosilane),巯基硅烷,氢化(hydrido)硅烷和硅氮烷如二甲基二硅氮烷也可能是合适的。这些第二种烷氧基硅烷材料的组合也可使用。例如,MTEOS和/或VTEOS可特别适于提高粘合性和/或硬度。在一个实施方案中,所述第二种烷氧基硅烷材料或材料的组合可以与第一种烷氧基硅烷混合,相对于烷氧基硅烷材料的总摩尔量,其量的范围为从最高约50mol%到40mol%到35mol%到25mol%到15mol%。在另一个实施方案中,所述第二种烷氧基硅烷材料相对于两种烷氧基硅烷材料的总摩尔量以至少约10mol%到至少约40mol%的量被添加。第一种烷氧基硅烷与第二种烷氧基硅烷材料的摩尔比可以在1∶1-1000∶1,更优选10∶1到500∶1且甚至更优选25∶1到100∶1的范围内。
除了所述烷氧基硅烷材料外,所述AR涂层溶液中可以含有至少一种金属醇盐。合适的金属醇盐包括金属异丙醇盐和金属丁醇盐。金属异丙醇盐的实例包括异丙醇锆和异丙醇钛(TIPO)。合适的金属丁醇盐的实例包括正丁醇铪和正丁醇锆。TIPO可以特别适合于提高AR涂层的硬度。在一个实施方案中,所述AR涂层溶液相对于金属醇盐和烷氧基硅烷的总摩尔量含有少于1mol%的金属醇盐。
前述材料的组合可以用来实现所需的涂层性质。在一个实施方案中,所述AR涂层溶液包含TEOS和MTEOS。在另一个实施方案中,所述AR涂层溶液包含TEOS,MTEOS,VTEOS。在另一个实施方案中,所述AR涂层溶液包含TEOS,MTEOS,VTEOS和TIPO。
被加入到所述AR涂层溶液中的合适的碱催化剂包括,例如,季铵化合物,其通式为R1R2R3R4N+OH-,其中R1,R2,R3,R4各自独立地是苯基,氢或C1-16烷基。在一些实施方案中,合适的碱催化剂包括氢氧化季铵,例如氢氧化四丁基铵和氢氧化四甲基铵。在一些实施方案中,合适的碱催化剂包括含有这些组分的水溶液,且可以任选在碱催化剂水溶液中所存在的水之外含有额外的蒸馏水。
在AR涂层溶液中可以使用的合适的溶剂或稀释剂的实例包括但不限于丙酮,水,丙二醇甲基醚醋酸酯(PGMEA),异丙醇(IPA),四氢呋喃(THF),乙醇,二丙二醇,四甘醇,乙酸乙酯,PGME和其组合。在一些实施方案中,所述溶剂是无丙酮的。
这些组分可以在例如有夹套的搅拌釜式反应器(STR)中被混合并反应,通过间歇或半连续模式反应约1小时到约6小时,更优选1到3.5小时的适合反应时间,且在约35℃到70℃的适合温度范围内进行。
在前述的条件下,在溶液中发生水解反应生成聚合物。依据反应条件,包含在溶液中的所述聚合物可以发生变化,从线性或无规支化链,到多孔基质,到致密胶体粒子。在任何情况下,生成的聚合物将包含上述至少两种不同的烷氧基硅烷材料和/或任选的金属醇盐材料的残基。这里使用的术语“残基”是指由最初加入AR涂层溶液的烷氧基硅烷和/或金属醇盐材料衍生出的聚合物分子部分。例如,通常知道四乙氧基硅烷在前述条件下反应生成SiO4单元,该单元构成了四乙氧基硅烷残基的一个实例。还应承认,可能形成某些副产物并包含在AR涂层溶液中,作为聚合物的部分或作为独立的成分。例如,TEOS的水解可能生成副产物乙醇。图3示意了一个具有所圈起来的特定残基的示例聚合物分子部分的画面。
在任何情况下,所述聚合物包含至少两种不同的由前面讨论的烷氧基硅烷材料衍生而来的烷氧基硅烷残基。在一个实施方案中,所述聚合物包括至少一个TEOS残基,至少一个MTEOS残基或两者。在另一个实施方案中所述聚合物还包括至少一个VTEOS残基。在另一个实施方案中,所述聚合物还包括至少一个TIPO残基。
为了进一步控制反应条件,在合适的反应时间之后,AR涂层溶液的pH值可使用酸,如硝酸,被调至约0到约4.0之间,更具体地,从约0到约2.0之间,甚至更具体地,从约0.5到约1.7之间。这个pH值的降低可以影响聚合反应的条件,该条件进而控制所述AR涂层溶液和随后固化的涂层中聚合物的粒子尺寸。在一个实施方案中,所述AR涂层溶液中聚合物的平均粒子尺寸可以小于10nm,更具体地,小于1nm。固化后的AR涂层的平均粒子尺寸可以在约15到约100nm之间,更具体地,在约25到约75nm之间,且所述聚合物可具有在约25000到约150000道尔顿之间的分子量。所述AR涂层还可以进一步被包括水,IPA,丙酮和/或PGMEA中的一种或多种的溶剂稀释。额外的酸可以在稀释的过程中被加入以维持所需的pH值。
所述AR涂层溶液可以通过各种通常已知的涂覆方法,包括旋转涂布,狭缝模头涂布,喷涂,浸渍涂布,辊涂和其他的涂布工艺,而分配到光学透明元件的表面上。取决于分配方法,在初始的反应中含有的或加入到所述AR涂层溶液中的溶剂的量可以变化,以此来使所述AR涂层溶液的固体浓度范围在约1到约25重量百分含量之间。在一些实施方案中,在STR中形成更浓缩的批次,然后通过稀释得到所需浓度,可能具有制造上的优势。在可替换的实施方案中,稀释可以在最初混合阶段之前或期间进行。对于浸渍涂布,固体浓度在约10到20重量百分含量之间是希望的。对于其他的涂布方法,如旋转涂布,狭缝模头涂布和喷涂,可能希望在约1到6重量百分含量之间的较低的固体浓度。本发明的实施方案可能更适用于喷涂施涂,原因是由前述的制造工艺可以得到相对较小的聚合物颗粒尺寸。所得到的涂层溶液的粘度可以在约0.75cP到约2.0cP之间变化。
不同于其他的形成AR涂层材料的方法,本发明的AR涂层溶液不需要从溶液中移除粒子就可使用。另外,由本发明的实施方案形成的AR涂层溶液可以在长时间内保持稳定。如这里所使用的,稳定性涉及涂层溶液的光学和/或机械性能特征,包括但不限于,光透射度,粘度,粘合性和/或pH值。在室温下,本发明的涂层溶液可以在至少24小时内保持稳定,更具体地,在约一周内,甚至更具体地,在约4周内保持稳定。另外,本发明的涂层溶液可在-20℃到-40℃的冷冻机中保存至少6个月,而不实质上影响玻璃涂层所需的光学或机械性能。特别地,如果所述涂层溶液需要运送到外地和/或在使用之前需要保存一段时间时,这种在长时间保存AR涂层的能力具有明显的制造上的好处。
在施涂后,所述AR涂层溶液在光学透明基板上被固化。当被施涂到玻璃基板上时,所述AR涂层溶液可以经过高温热回火步骤来固化涂层,根据玻璃的组成,温度范围在约600℃到约750℃之间,时间在约1分钟到约1小时之间。应该意识到,前述的各种烷氧基硅烷和/或金属醇盐残基可以在固化步骤中进一步改性。然而,这些附加的衍生残基仍构成烷氧基硅烷和/或金属醇盐残基以实现本发明的目的。
根据本发明实施方案的带有AR涂层的光学透明元件可具有提高的光学透射性能。例如,所述AR涂层可具有在约1.15到约1.3之间的折射率,在光谱的可见光波段(350到800nm)中获得最高4.26%的透射性能的提高和/或在光谱的红外波段(800到2500nm)中获得最高约3%的透射性能的提高。
如果光学透明基板的两面都被涂覆,光谱中可见光波段的透射性能可提高最高约8-9%,并且光谱中红外波段的透射性能可提高最高约5-7%。在以下的实施例部分将展示与这些性能相关的示例性数据。在一些实施方案中,只要所述AR膜的厚度适合于(turned to)入射光的波长(AR膜的厚度是入射光波长的约1/4),透射性能的绝对提升不依赖于涂覆方法。
如实施例中进一步揭示的那样,相对于普通的溶胶-凝胶,本发明的AR涂层可具有提升的粘合性和/或硬度。另外,包含TIPO的AR涂层可具有自我净化特性,原因是在水和太阳紫外线存在下产生羟基基团。所述羟基基团可以氧化玻璃表面上水不溶性有机污物,形成高度水溶性的化合物,它们能够在下雨时被冲洗掉。这种自我净化特性可以根据TIPO的添加量而实现最优化。在一些实施方案中,TIPO的含量例如是在约0.0005摩尔到约0.003摩尔。
图2是根据本发明实施方案的一个将光转化为电能的光电模块(例如太阳能电池)的截面图。来自太阳等的进入或入射光,在到达模块的光电半导体(活性膜)4之前,首先照射在AR涂层1上并穿过,然后穿过玻璃基板2和前透明电极3。所述模块还可以含有,但不是必需的,反射增强氧化物和/或EVA膜5,和/或后金属接触器和/或反射器6,如图2所示。其他类型的光电装置当然也可以使用,且图2的模块只是为了举例和便于理解的目的提供的。还应该理解,模块可以含有遮盖多个串联的光电电池的单一AR涂覆的光学透明基板。
如上面解释的那样,AR涂层1降低了入射光的反射和允许更多的光到达光电模块的薄膜半导体膜4,以此使装置更有效地工作。虽然前面讨论的特定的AR涂层1被应用于光电装置/模块的描述中,但本发明并不局限于此。本发明的AR涂层可用于其他的应用中。而且,其他的层可以在玻璃基板上在AR涂层下被提供,因此AR涂层被认为是设置在玻璃基板上,即使在两者之间提供了其他层。
具体实施方式
实施例1-16
在实施例1-16中,122克异丙醇和62克丙酮被放入反应器中。在实施例1-10中,0.09摩尔四乙氧基硅烷(TEOS)和0.01摩尔甲基三乙氧基硅烷(MTEOS)被加入到反应器中同时用搅拌器搅拌。在实施例12-16中,TEOS和MTEOS之间的摩尔比例是变化的。所有单体的总摩尔量保持在0.1摩尔的恒定总量以简化动力学测量。下面的表1显示了每个实施例中使用的特定组分的含量。
氢氧化四丁基铵(TBAH)(40%水溶液中0.002摩尔)或氢氧化四甲基铵(TMAH)(25%水溶液中0.002摩尔)作为碱催化剂被加入且所述反应混合物随后被加热到35-70℃保持1-3.5小时。随后将反应混合物冷却,以半连续方式将硝酸加入到反应混合物中以调整所述反应混合物的pH值到0.5-1.7。然后将所述反应混合物冷却且用IPA,丙酮和/或PGMEA稀释。分子量通过凝胶渗透色谱法测量,且基于反应条件,它的范围在约25000-150000道尔顿。稀释和pH值控制之后,将所述聚合物制剂保存在-20℃到-40℃的冷冻机中。
在冷冻状态保存后,这些制剂随后被沉积在玻璃或透明基板上。浸渍涂布,狭缝,模头涂布,辊涂,和旋转涂布工艺被尝试。在几乎所有情况下,通过在空气或氮气下,在200-700℃、更有利地在600-750℃下固化3分钟到1小时,获得了125nm的所希望的涂层厚度。来自n&k Technology,Inc.的宽带光谱装置被用来测量涂层厚度。通过SEM分析,涂层中平均聚合物粒子尺寸在10到100nm之间。
透射数据通过可测量300-2500nm的紫外-可见光谱测量仪进行测量获得。来自n&k Technology,Inc.的宽带光谱装置被用来测量折射率。表1和2提供了实施例1-16的组成和性能数据。
铅笔硬度测试被用作抗擦性和/或硬度的指示,且通过尝试不同硬度的铅笔(例如,3B,4B等)在AR涂层上的擦拭来进行。胶带测试被用作涂层粘合性的指示,且通过在涂层中形成交叉排线(cross-hatchs),按压背衬粘合剂的胶带材料到被涂覆的基板上,从涂层上拉开胶带,然后研究胶带在涂层中交叉排线部分上的影响来进行测量。接触角测试通过使用来自AST Products,Inc.的VCA2500仪器来确定AR涂覆基板的接触角。这些测试的结果在表2中展示。
表1
表2
可以看出TBAH的摩尔量在约0.0005到约0.003,或更具体地,约0.002摩尔,将导致优化的反应速率。较低量的TBAH降低反应速率,而较高量将增加反应速率到形成凝胶的水平。
可以确定,实施例1-16都具有接近1.23的折射率且透射率(相对于无涂覆的基板)提高了最高4%。铅笔硬度结果一般地揭示了可接受的抗擦性,而实施例16具有特别高的抗擦性。实施例3-5,7-9和16还展示了由胶带测试得出的可接受的到优异的涂层粘合性。
实施例11修改为在反应溶液中含有0.00015摩尔的二乙基磷酸乙基三乙氧基硅烷(而维持1摩尔的总单体量)。如此提高了硬度和粘合性,但是涂层膜的折射率由1.2提升到1.3。某些实施例在固化前还用乙醇与水的50-50混合物处理,以此去除TBAN(硝酸四丁基铵),否则其将作为TBAH和硝酸反应的产物而留在膜上。已经发现,这步清洗步骤进一步提高了膜的硬度。在一些情况下,在固化步骤前这样处理后,硬度能够达到3H。
实施例16进一步进行一些如下面表3所述的耐久性测试以确定粘合性或透射性是否损失。
表3
“通过”指小于0.02的透射率损失和粘合性无可检测的变化。如上面所示,实施例16通过所有的耐久性测试。
实施例16中的样品在室温下在大约10天后进一步进行测试以确认其稳定性。如下面的表4所示,涂层溶液样品被制成具有不同的聚合物材料摩尔浓度。
表4
表4中展示的结果,表明了样品在存储后维持小于2.0cP的粘度和约3~4的pH值,确认了涂层溶液的稳定性。
实施列17-42
表5-6提供了实施例17-42的组成和性能数据,其中添加了不同类型和量的一种或多种粘合性提升材料。在实施例17-40中,将122克异丙醇和62克丙酮放入反应器中。在实施例41-42中,将366克异丙醇和250克丙酮放入反应器中。
在每个实施例中,四乙氧基硅烷(TEOS)和/或甲基三乙氧基硅烷(MTEOS)被加入到反应器中同时用搅拌器搅拌。VTEOS,DMDEOS,MDEOS和/或TIO中的至少一种也被加入。
在实施例17-40中,TBAH(40%水溶液中有0.002摩尔)被用做碱。在实施例41和42中,0.006摩尔的TBAH被加入作为碱催化剂,且额外的水也被加入。
所述反应混合物随后被加热至35-70℃保持3.5小时。所述反应混合物随后被冷却至45℃,且以半连续方式将硝酸加入到反应混合物中以调节所述反应混合物的pH值至0.5-1.7。随后,所述反应混合物被冷却,且用IPA,丙酮,PGMEA,和/或水稀释。在实施例41和42中,所述反应混合物用14重量百分比的PGMEA,46.472重量百分比的IPA,37.38重量百分比的丙酮和1.87重量百分比的水的混合物稀释。
在稀释和pH控制之后,所述聚合物制剂被存储在-20℃到-40℃的冷冻机内。分子量由GPC测量,且依据反应条件,其大约为25000-150000道尔顿。
这些制剂随后通过旋涂被沉积在玻璃基板上。在几乎所有情形下,在空气或氮气下,在200-700℃下、优选在600-750℃下固化3分钟到1小时,获得125nm的所希望的涂层厚度。
在实施例1-16中进行的测试同样在实施例17-40中进行,如下面的表6所示。实施例41和42包含些许不同的测试,包括350-1200nm下平均光透射率的提高和由扫描力显微镜测量的表面粗糙度(RMS)测试,如下面的表7所示。擦拭测试按照EN-1096-2测量。
表5
表6
表7
实施例17-42表明VTEOS,DMDEOS,MDEOS和TIPO的加入提高了AR涂层的一些物理性能,包括抗擦性/硬度和/或粘合性。特别是VTEOS,能够提高膜的硬度和一致性。
可以确定的是,这里描述的反应可以没有困难地,或在不损失制成的膜的光学和机械性能的情况下,放大到100到1000升批量规模。
在不背离本发明的范围情况下,可以对讨论的示例性实施方案进行各种不同的修正和增加。例如,虽然上面讨论的实施方案涉及特定的特征,但是本发明的范围还包括具有不同特征组合的实施方案和不包含所有上述讨论的特征的实施方案。相应地,本发明的范围意图包含落入权利要求和其等同物的保护范围之内的所有的替代,修正,和变化。
Claims (15)
1.一种光学透明元件,其包括:
光学透明基板;和
在所述光学透明基板的至少一个表面上设置的抗反射涂层,所述抗反射涂层含有聚合物,所述聚合物包括:
至少一个四烷氧基硅烷残基;和
选自包含三烷氧基硅烷、二烷氧基硅烷、单烷氧基硅烷及其组合构成的组中的至少一个的第二种烷氧基硅烷残基,其中所述聚合物中相对于聚合物的总摩尔数含有至少50%摩尔百分含量的所述至少一个四烷氧基硅烷残基,且所述涂层中含有平均尺寸不大于100nm的聚合物粒子。
2.如权利要求1所述的光学透明元件,其中所述至少一个烷氧基硅烷残基是四乙氧基硅烷。
3.如权利要求1-2中任一项所述的光学透明元件,其中所述第二种烷氧基硅烷残基是选自由甲基三乙氧基硅烷和乙烯基三乙氧基硅烷构成的组。
4.如权利要求1-3中任一项所述的光学透明元件,其中所述至少一个四烷氧基硅烷残基是四乙氧基硅烷,且所述第二种烷氧基硅烷是甲基三乙氧基硅烷。
5.如权利要求4所述的光学透明元件,其进一步包含乙烯基三乙氧基硅烷残基。
6.如权利要求1-5中任一项所述的光学透明元件,其中所述聚合物进一步含有金属醇盐残基。
7.如权利要求1-6中任一项所述的光学透明元件,包含至少约20%摩尔百分含量的所述第二种烷氧基硅烷残基。
8.如权利要求1-7中任一项所述的光学透明元件,其进一步包含邻近所述光学透明元件设置的至少一个半导体层。
9.一种形成光学透明元件的方法,其包括:
分配涂层溶液至光学透明基板上,所述涂层溶液由以下步骤形成:
混合至少第一种四烷氧基硅烷材料、第二种烷氧基硅烷材料、碱催化剂和溶剂,其中所述第二种烷氧基硅烷材料选自由三烷氧基硅烷、二烷氧基硅烷、单烷氧基硅烷及其组合构成的组;
在足够的温度下维持涂层溶液的pH值一段充分的时间以形成具有约15nm到约100nm平均粒子尺寸的聚合物粒子,和
降低所述涂层溶液的pH值到低于约5.0;和
固化所述分配的涂层溶液以在所述光学透明基板上形成抗反射涂层。
10.如权利要求9所述的方法,其中所述碱催化剂包括至少一种季铵。
11.如权利要求9-10中任一项所述的方法,其中所述碱催化剂包括四丁基铵氢氧化物、四甲基铵氢氧化物或其组合。
12.如权利要求9-11中任一项所述的方法,其中所述涂层溶液在降低pH值之前被维持在约35℃到约70℃之间约1小时到约5小时。
13.如权利要求9-12中任一项所述的方法,,其中所述pH值被降至不大于2.0。
14.如权利要求9-13中任一项所述的方法,其在分配之前,进一步含有在液态或冻结状态下存储该涂层溶液至少约24小时的步骤。
15.如权利要求9-14中任一项所述的方法,其中所述涂层溶液通过喷雾分配。
Applications Claiming Priority (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US26823109P | 2009-06-10 | 2009-06-10 | |
US61/268231 | 2009-06-10 | ||
US12/796199 | 2010-06-08 | ||
US12/796,199 US8557877B2 (en) | 2009-06-10 | 2010-06-08 | Anti-reflective coatings for optically transparent substrates |
PCT/US2010/037898 WO2010144527A2 (en) | 2009-06-10 | 2010-06-09 | Anti-reflective coatings for optically transparent substrates |
Publications (2)
Publication Number | Publication Date |
---|---|
CN102405533A true CN102405533A (zh) | 2012-04-04 |
CN102405533B CN102405533B (zh) | 2016-05-18 |
Family
ID=43305339
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201080017276.0A Expired - Fee Related CN102405533B (zh) | 2009-06-10 | 2010-06-09 | 用于光学透明基板的抗反射涂层 |
Country Status (5)
Country | Link |
---|---|
US (2) | US8557877B2 (zh) |
EP (1) | EP2412035A4 (zh) |
JP (1) | JP2012529679A (zh) |
CN (1) | CN102405533B (zh) |
WO (1) | WO2010144527A2 (zh) |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN106206759A (zh) * | 2016-08-31 | 2016-12-07 | 天津蓝天太阳科技有限公司 | 一种太阳电池高透过率减反射膜的制备方法 |
CN107078172A (zh) * | 2014-07-14 | 2017-08-18 | 恩基科技股份有限公司 | 用于增强可靠性的涂层材料和方法 |
CN107849373A (zh) * | 2015-07-14 | 2018-03-27 | 霍尼韦尔国际公司 | 用于蓝宝石的防反射涂层 |
Families Citing this family (27)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9376593B2 (en) | 2009-04-30 | 2016-06-28 | Enki Technology, Inc. | Multi-layer coatings |
US9353268B2 (en) | 2009-04-30 | 2016-05-31 | Enki Technology, Inc. | Anti-reflective and anti-soiling coatings for self-cleaning properties |
US8864898B2 (en) * | 2011-05-31 | 2014-10-21 | Honeywell International Inc. | Coating formulations for optical elements |
JP2013127602A (ja) * | 2011-11-18 | 2013-06-27 | Canon Inc | 光学部材、撮像装置、光学部材の製造方法及び撮像装置の製造方法 |
US20150267060A1 (en) * | 2012-08-31 | 2015-09-24 | Honeywell International Inc. | Highly durable anti-reflective coatings |
JP6057649B2 (ja) * | 2012-10-01 | 2017-01-11 | Hoya株式会社 | 眼鏡レンズの製造方法 |
WO2014134594A1 (en) * | 2013-03-01 | 2014-09-04 | Board Of Trustees Of The University Of Arkansas | Antireflective coating for glass applications and method of forming same |
US9359249B2 (en) * | 2013-05-29 | 2016-06-07 | Guardian Industries Corp. | Anti-corrosion anti-reflection glass and related methods |
DE102013107240A1 (de) * | 2013-07-09 | 2015-01-15 | Institut Für Verbundwerkstoffe Gmbh | Gemisch zur Herstellung von Nanopartikeln aus Siliziumdioxid, Verwendung eines solchen Gemisches, Verfahren zur Herstellung von Nanopartikeln aus Siliziumdioxid, Verwendung von nach dem Verfahren hergestellten Nanopartikeln aus Siliziumdioxid sowie nach dem Verfahren hergestellte Nanopartikel aus Siliziumdioxid |
US9598586B2 (en) | 2014-07-14 | 2017-03-21 | Enki Technology, Inc. | Coating materials and methods for enhanced reliability |
US9382449B2 (en) | 2014-09-19 | 2016-07-05 | Enki Technology, Inc. | Optical enhancing durable anti-reflective coating |
US9376589B2 (en) | 2014-07-14 | 2016-06-28 | Enki Technology, Inc. | High gain durable anti-reflective coating with oblate voids |
WO2016064494A2 (en) * | 2014-09-17 | 2016-04-28 | Enki Technology, Inc. | Multi-layer coatings |
SG11201704062XA (en) * | 2014-12-01 | 2017-06-29 | Honeywell Int Inc | Carbosilane polymers |
US20170125241A1 (en) * | 2015-10-30 | 2017-05-04 | Applied Materials, Inc. | Low temp single precursor arc hard mask for multilayer patterning application |
CN105607158B (zh) * | 2016-01-04 | 2018-07-06 | 重庆京东方光电科技有限公司 | 一种基板、基板制造方法、触摸屏和显示装置 |
CN106941126B (zh) * | 2017-05-05 | 2018-07-13 | 孝感双华应用科技开发有限公司 | 一种高性能减反射膜的制备方法 |
JP6782211B2 (ja) * | 2017-09-08 | 2020-11-11 | 株式会社東芝 | 透明電極、それを用いた素子、および素子の製造方法 |
WO2019065772A1 (ja) * | 2017-09-29 | 2019-04-04 | 富士フイルム株式会社 | 塗布組成物、積層体及び太陽電池モジュール、並びに積層体の製造方法 |
US20190288565A1 (en) * | 2018-03-14 | 2019-09-19 | Medtronic, Inc. | Rf power transfer coil for implantable vad pumps |
US11448797B1 (en) | 2018-11-29 | 2022-09-20 | Quantum Innovations, Inc. | Viewing lens and method for treating lenses to minimize glare and reflections for birds with tetra-chromatic vision |
US11353630B2 (en) | 2019-03-18 | 2022-06-07 | Quantum Innovations, Inc. | Method for treating a lens to reduce light reflections for animals and devices that view through the ultra violet light spectrum |
KR20200114438A (ko) | 2019-03-28 | 2020-10-07 | 삼성전자주식회사 | 반사방지막 형성용 폴리머 및 조성물과 반사방지막을 이용하는 집적회로 소자의 제조 방법 |
LU102920B1 (de) | 2022-03-31 | 2023-10-02 | Fusion Bionic Gmbh | Vorrichtung und Verfahren zur Laserinterferenzstrukturierung von Substraten mit periodischen Punktstrukturen für Antireflexionseigenschaften |
KR20240031352A (ko) | 2021-07-03 | 2024-03-07 | 퓨전 바이오닉 게엠베하 | 반사 방지 속성을 위한 주기적인 도트 구조를 갖는 기판의 레이저 간섭 구조화를 위한 장치 및 방법 |
DE102021117204A1 (de) | 2021-07-03 | 2023-01-05 | Fusion Bionic Gmbh | Vorrichtung und Verfahren zur Laserinterferenzstrukturierung von transparenten Substraten mit periodischen Punktstrukturen für Antireflexionseigenschaften |
WO2023059880A1 (en) * | 2021-10-07 | 2023-04-13 | Corning Incorporated | Oxide coatings with adjustable ion-permeation as optical and protective coatings and methods of making the same |
Citations (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20020090519A1 (en) * | 2000-10-18 | 2002-07-11 | Merck Gmbh | Aqueous coating solution for abrasion-resistant SiO2 antireflection layers |
EP1659423A1 (en) * | 2004-11-18 | 2006-05-24 | Hitachi, Ltd. | Anti-reflecting membranes, a method for producing the membranes and their use for optical members display apparatus, optical storage media and solar energy converting devices |
GB2424382A (en) * | 2005-02-25 | 2006-09-27 | Asahi Chemical Ind | Antireflective coatings |
WO2006122308A2 (en) * | 2005-05-11 | 2006-11-16 | Yazaki Corporation | Antireflective coating compositions and methods for depositing such coatings |
DE102006046726A1 (de) * | 2006-10-02 | 2008-04-03 | Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. | Solarzelle mit strukturierter Rückseitenpassivierungsschicht aus SIOx und SINx sowie Verfahren zur Herstellung |
US20080295884A1 (en) * | 2007-05-29 | 2008-12-04 | Sharma Pramod K | Method of making a photovoltaic device or front substrate with barrier layer for use in same and resulting product |
US20090087665A1 (en) * | 2007-08-28 | 2009-04-02 | Hoya Corporation | Production method of silica aerogel film, anti-reflection coating and optical element |
WO2009069712A1 (ja) * | 2007-11-30 | 2009-06-04 | Nissan Chemical Industries, Ltd. | ブロック化イソシアネート基を有するシリコン含有レジスト下層膜形成組成物 |
Family Cites Families (827)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US58929A (en) | 1866-10-16 | Improved keeper for bolts | ||
US35447A (en) | 1862-06-03 | Coal oil lamp chimney | ||
US35368A (en) | 1862-05-27 | Improvement in shutter-fastenings | ||
US106376A (en) | 1870-08-16 | Improvement in harrow and cultivator | ||
US172896A (en) | 1876-02-01 | Improvement in hydraulic elevators | ||
US35239A (en) | 1862-05-13 | Improved mode of securing chimneys to lamps | ||
US31987A (en) | 1861-04-09 | Machinist s instrument foe determining geometrical lines | ||
US677386A (en) | 1900-08-10 | 1901-07-02 | Chief Husker And Shredder Company | Corn husker and shredder. |
DE1017883B (de) * | 1954-07-08 | 1957-10-17 | Fellows Gear Shaper Co | Schalt- und Vorschubeinrichtung fuer Zahnradherstellungsmaschinen |
US2783263A (en) | 1954-11-22 | 1957-02-26 | Dow Corning | Halocarboxysilanes |
US3294737A (en) | 1963-12-23 | 1966-12-27 | Gen Electric | Organopolysiloxanes |
US5270285A (en) | 1965-02-28 | 1993-12-14 | Dai Nippon Insatsu Kabushiki Kaisha | Sheet for heat transference |
US3615272A (en) | 1968-11-04 | 1971-10-26 | Dow Corning | Condensed soluble hydrogensilsesquioxane resin |
US3635529A (en) | 1969-06-24 | 1972-01-18 | Walter R Nass | Motor vehicle wheel assembly |
CA993709A (en) | 1971-01-21 | 1976-07-27 | Leo Roos | Composite, mask-forming photohardenable elements |
US3784378A (en) | 1971-10-18 | 1974-01-08 | Du Pont | Double-exposure method for producing reverse images in photopolymers |
US3884702A (en) | 1972-12-14 | 1975-05-20 | Unitika Ltd | Photosensitive polyamide composition |
US3929489A (en) | 1973-09-14 | 1975-12-30 | Eastman Kodak Co | Lithographic plates having radiation sensitive elements developable with aqueous alcohol |
US4043812A (en) | 1973-11-19 | 1977-08-23 | Xerox Corporation | Electrostatographic imaging member and process using anthracene functional polymers |
US3873361A (en) | 1973-11-29 | 1975-03-25 | Ibm | Method of depositing thin film utilizing a lift-off mask |
US3925077A (en) | 1974-03-01 | 1975-12-09 | Horizons Inc | Photoresist for holography and laser recording with bleachout dyes |
US4191571A (en) | 1974-04-26 | 1980-03-04 | Hitachi, Ltd. | Method of pattern forming in a photosensitive composition having a reciprocity law failing property |
US4018607A (en) | 1974-05-03 | 1977-04-19 | Eastman Kodak Company | Crystalline organic pigment sensitizers for photoconductive layers |
US4018606A (en) | 1974-05-03 | 1977-04-19 | Eastman Kodak Company | Organic azo pigment sensitizers for photoconductive layers |
US4053313A (en) | 1975-06-03 | 1977-10-11 | E. I. Du Pont De Nemours And Company | Process for image reproduction using multilayer photosensitive solvent processable elements |
US4052367A (en) | 1975-10-14 | 1977-10-04 | Eastman Kodak Company | Radiation sensitive polymers of oxygen-substituted maleimides and elements containing same |
US4048146A (en) | 1975-10-14 | 1977-09-13 | Eastman Kodak Company | Radiation sensitive polymers of oxygen-substituted maleimides and elements containing same |
US4019884A (en) * | 1976-01-22 | 1977-04-26 | Corning Glass Works | Method for providing porous broad-band antireflective surface layers on chemically-durable borosilicate glasses |
US4102683A (en) | 1977-02-10 | 1978-07-25 | Rca Corp. | Nonreflecting photoresist process |
US4618213A (en) | 1977-03-17 | 1986-10-21 | Applied Elastomerics, Incorporated | Gelatinous elastomeric optical lens, light pipe, comprising a specific block copolymer and an oil plasticizer |
US5334646B1 (en) | 1977-03-17 | 1998-09-08 | Applied Elastomerics Inc | Thermoplastic elastomer gelatinous articles |
US5655947A (en) | 1977-03-17 | 1997-08-12 | Applied Elastomerics, Inc. | Ultra-soft, ultra-elastic gel airfoils |
US5336708A (en) | 1977-03-17 | 1994-08-09 | Applied Elastomerics, Inc. | Gelatinous elastomer articles |
US5324222A (en) | 1977-03-17 | 1994-06-28 | Applied Elastomerics, Inc. | Ultra-soft, ultra-elastic airfoils |
US5153254A (en) | 1977-03-17 | 1992-10-06 | Applied Elastomerics, Inc. | Reusable lint remover |
US5624294A (en) | 1977-03-17 | 1997-04-29 | Applied Elastomerics, Inc. | Humdinger, gel spinner |
US5262468A (en) | 1977-03-17 | 1993-11-16 | Applied Elastomerics, Inc. | Thermoplastic elastomer gelatinous compositions |
US5475890A (en) | 1977-03-17 | 1995-12-19 | Applied Elastomerics, Inc. | Gelatinous elastomer swabs |
US4369284A (en) | 1977-03-17 | 1983-01-18 | Applied Elastomerics, Incorporated | Thermoplastic elastomer gelatinous compositions |
US5239723A (en) | 1977-03-17 | 1993-08-31 | Applied Elastomerics, Inc. | Gelatinous elastomer swabs |
US5633286B1 (en) | 1977-03-17 | 2000-10-10 | Applied Elastomerics Inc | Gelatinous elastomer articles |
US5508334A (en) | 1977-03-17 | 1996-04-16 | Applied Elastomerics, Inc. | Thermoplastic elastomer gelatinous compositions and articles |
DE2720559A1 (de) | 1977-05-07 | 1978-11-09 | Basf Ag | Verbesserte photopolymerisierbare massen fuer die herstellung von druckplatten und reliefformen |
JPS6058467B2 (ja) | 1977-10-22 | 1985-12-20 | 株式会社リコー | 電子写真用感光体 |
US4413088A (en) | 1978-11-30 | 1983-11-01 | General Electric Co. | Silicone resin coating composition especially suited for primerless adhesion to cast acrylics |
JPS55165922A (en) | 1979-06-14 | 1980-12-24 | Daicel Chem Ind Ltd | Production of thermosetting organopolysiloxane |
US4299938A (en) | 1979-06-19 | 1981-11-10 | Ciba-Geigy Corporation | Photopolymerizable and thermally polymerizable compositions |
US4349609A (en) | 1979-06-21 | 1982-09-14 | Fujitsu Limited | Electronic device having multilayer wiring structure |
JPS5850417B2 (ja) | 1979-07-31 | 1983-11-10 | 富士通株式会社 | 半導体装置の製造方法 |
US4257826A (en) | 1979-10-11 | 1981-03-24 | Texas Instruments Incorporated | Photoresist masking in manufacture of semiconductor device |
US4290896A (en) | 1980-05-27 | 1981-09-22 | Dow Corning Corporation | Dewatering fine coal slurries using organopolysiloxanes |
US4935583A (en) | 1980-05-30 | 1990-06-19 | Kyle James C | Insulated conductor with ceramic-connected elements |
US4483107A (en) | 1980-06-17 | 1984-11-20 | Konishiroku Photo Industry Co., Ltd. | Polishing method for electrophotographic photoconductive member |
EP0046695B1 (en) | 1980-08-26 | 1986-01-08 | Japan Synthetic Rubber Co., Ltd. | Ladder-like lower alkylpolysilsesquioxanes and process for their preparation |
JPS5760330A (en) | 1980-09-27 | 1982-04-12 | Fujitsu Ltd | Resin composition |
US4399255A (en) | 1980-12-22 | 1983-08-16 | Avtex Fibers Inc. | Alloy rayon fibers of regenerated cellulose and copolymeric acrylic acid salts |
DE3048369C2 (de) * | 1980-12-22 | 1983-01-05 | Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V., 8000 München | Abrasiv wirkende Mittel und deren Verwendung |
US4515828A (en) | 1981-01-02 | 1985-05-07 | International Business Machines Corporation | Planarization method |
US4423135A (en) | 1981-01-28 | 1983-12-27 | E. I. Du Pont De Nemours & Co. | Preparation of photosensitive block copolymer elements |
US4413052A (en) | 1981-02-04 | 1983-11-01 | Ciba-Geigy Corporation | Photopolymerization process employing compounds containing acryloyl group and anthryl group |
US4419437A (en) | 1981-02-11 | 1983-12-06 | Eastman Kodak Company | Image-forming compositions and elements containing ionic polyester dispersing agents |
US4312970A (en) | 1981-02-20 | 1982-01-26 | Dow Corning Corporation | Silazane polymers from {R'3 Si}2 NH and organochlorosilanes |
US4362809A (en) | 1981-03-30 | 1982-12-07 | Hewlett-Packard Company | Multilayer photoresist process utilizing an absorbant dye |
US4348471A (en) | 1981-06-15 | 1982-09-07 | Polychrome Corporation | Positive acting composition yielding pre-development high visibility image after radiation exposure comprising acid free novolak, diazo oxide and acid sensitive dyestuff |
EP0076656B1 (en) | 1981-10-03 | 1988-06-01 | Japan Synthetic Rubber Co., Ltd. | Solvent-soluble organopolysilsesquioxanes, processes for producing the same, and compositions and semiconductor devices using the same |
US4783347A (en) | 1981-12-01 | 1988-11-08 | General Electric Company | Method for primerless coating of plastics |
US4442197A (en) | 1982-01-11 | 1984-04-10 | General Electric Company | Photocurable compositions |
JPS58171416A (ja) | 1982-04-02 | 1983-10-08 | Hitachi Ltd | 耐熱性重合体 |
US5741623A (en) | 1982-07-30 | 1998-04-21 | Tdk Corporation | Optical recording medium |
DE3231147A1 (de) | 1982-08-21 | 1984-02-23 | Basf Ag, 6700 Ludwigshafen | Positiv arbeitendes verfahren zur herstellung von reliefbildern oder resistmustern |
US6194121B1 (en) | 1982-09-25 | 2001-02-27 | Tdk Corp. | Optical recording medium |
US4822718A (en) | 1982-09-30 | 1989-04-18 | Brewer Science, Inc. | Light absorbing coating |
US4910122A (en) | 1982-09-30 | 1990-03-20 | Brewer Science, Inc. | Anti-reflective coating |
JPH0612452B2 (ja) | 1982-09-30 | 1994-02-16 | ブリュ−ワ−・サイエンス・インコ−ポレイテッド | 集積回路素子の製造方法 |
US4434127A (en) | 1982-12-09 | 1984-02-28 | Dow Corning Corporation | Heat curable polydiorganosiloxane compositions having enhanced release upon cure |
JPS59109565A (ja) | 1982-12-16 | 1984-06-25 | Fujitsu Ltd | コ−テイング樹脂溶液およびその製造方法 |
CA1255952A (en) | 1983-03-04 | 1989-06-20 | Akihiro Furuta | Positive type photoresist composition |
JPS59226346A (ja) | 1983-06-07 | 1984-12-19 | Fuotopori Ouka Kk | プリント回路の製造方法 |
KR890003903B1 (ko) | 1983-06-29 | 1989-10-10 | 가부시끼가이샤 히다찌세이사꾸쇼 | 패턴 형성 방법 |
US4535026A (en) | 1983-06-29 | 1985-08-13 | The United States Of America As Represented By The United States Department Of Energy | Antireflective graded index silica coating, method for making |
US4430153A (en) | 1983-06-30 | 1984-02-07 | International Business Machines Corporation | Method of forming an RIE etch barrier by in situ conversion of a silicon containing alkyl polyamide/polyimide |
US4617252A (en) | 1983-07-01 | 1986-10-14 | Philip A. Hunt Chemical Corporation | Antireflective coatings for use in the manufacture of semi-conductor devices, methods and solutions for making such coatings, and the method for using such coatings to absorb light in ultraviolet photolithography processes |
DE3324795A1 (de) | 1983-07-09 | 1985-01-17 | Merck Patent Gmbh, 6100 Darmstadt | Negativ arbeitende fotoresistzusammensetzungen mit strahlungsabsorbierenden zusaetzen |
DE3344202A1 (de) | 1983-12-07 | 1985-06-20 | Merck Patent Gmbh, 6100 Darmstadt | Positiv-fotoresistzusammensetzungen |
GB8333901D0 (en) | 1983-12-20 | 1984-02-01 | Minnesota Mining & Mfg | Radiationsensitive compositions |
ATE37242T1 (de) | 1984-02-10 | 1988-09-15 | Ciba Geigy Ag | Verfahren zur herstellung einer schutzschicht oder einer reliefabbildung. |
EP0152377B1 (de) | 1984-02-10 | 1987-12-09 | Ciba-Geigy Ag | Härtbare Zusammensetzung und deren Verwendung |
JPS60177029A (ja) | 1984-02-21 | 1985-09-11 | Toray Silicone Co Ltd | オルガノポリシロキサン組成物の硬化方法 |
US4831188A (en) | 1984-02-29 | 1989-05-16 | Bowling Green State University | Perester photoinitiators |
US4752649A (en) | 1984-02-29 | 1988-06-21 | Bowling Green State University | Perester photoinitiators |
US4702990A (en) | 1984-05-14 | 1987-10-27 | Nippon Telegraph And Telephone Corporation | Photosensitive resin composition and process for forming photo-resist pattern using the same |
EP0163538B1 (en) | 1984-05-30 | 1989-11-23 | Fujitsu Limited | Pattern-forming material and its production and use |
GB8414867D0 (en) | 1984-06-11 | 1984-07-18 | Minnesota Mining & Mfg | Pre-press proofing system |
US4767571A (en) | 1984-06-27 | 1988-08-30 | Fuji Photo Film Co., Ltd. | Infrared absorbent |
US4705739A (en) | 1984-07-16 | 1987-11-10 | Minnesota Mining And Manufacturing Company | Graphic arts imaging constructions using vapor-deposited colorant and metalloid layers with overlying photosensitive resist layer |
US4763966A (en) | 1984-07-16 | 1988-08-16 | Fuji Photo Film Co., Ltd. | Infrared absorbent |
US5674648A (en) | 1984-08-06 | 1997-10-07 | Brewer Science, Inc. | Anti-reflective coating |
US4657965A (en) | 1984-10-22 | 1987-04-14 | Toshiba Silicone Co., Ltd. | Silicone elastomer composition |
US4594309A (en) | 1984-10-31 | 1986-06-10 | Allied Corporation | α,β Diketone containing polymers as positive photoresist compositions |
US4670299A (en) | 1984-11-01 | 1987-06-02 | Fujitsu Limited | Preparation of lower alkyl polysilsesquioxane and formation of insulating layer of silylated polymer on electronic circuit board |
US4587138A (en) | 1984-11-09 | 1986-05-06 | Intel Corporation | MOS rear end processing |
US4620986A (en) | 1984-11-09 | 1986-11-04 | Intel Corporation | MOS rear end processing |
US4705729A (en) | 1984-11-19 | 1987-11-10 | Hewlett-Packard Company | Method for photochemically enhancing resolution in photolithography processes |
IT1177373B (it) | 1984-12-06 | 1987-08-26 | Bioresearch Spa | Sali della 5'-metiltio-5'-deossiadenosina con acidi solfonici a lunga catena alchilica |
US4708925A (en) | 1984-12-11 | 1987-11-24 | Minnesota Mining And Manufacturing Company | Photosolubilizable compositions containing novolac phenolic resin |
DE3678652D1 (de) | 1985-03-07 | 1991-05-16 | Hughes Aircraft Co | Polysiloxanschutzlack fuer ionen- und elektronenstrahl-lithographie. |
FR2579552B1 (fr) | 1985-03-27 | 1990-06-08 | Honda Motor Co Ltd | Dispositif de direction des roues avant et arriere pour vehicule |
EP0204963B1 (en) | 1985-05-10 | 1993-01-13 | Hitachi, Ltd. | Use of Alkali-Soluble Polyorganosilsesquioxane Polymers in a resist for preparing electronics parts. |
US4745169A (en) | 1985-05-10 | 1988-05-17 | Hitachi, Ltd. | Alkali-soluble siloxane polymer, silmethylene polymer, and polyorganosilsesquioxane polymer |
US4663414A (en) | 1985-05-14 | 1987-05-05 | Stauffer Chemical Company | Phospho-boro-silanol interlayer dielectric films and preparation |
JPS6289907A (ja) | 1985-06-19 | 1987-04-24 | Sumitomo Bakelite Co Ltd | 偏光膜一体型透明導電性フイルム |
US4595599A (en) | 1985-06-21 | 1986-06-17 | Advanced Chemical Technologies Company | Luminescent silane |
US4674176A (en) | 1985-06-24 | 1987-06-23 | The United States Of America As Represented By The United States Department Of Energy | Planarization of metal films for multilevel interconnects by pulsed laser heating |
US4814578A (en) | 1985-06-24 | 1989-03-21 | The United States Of America As Represented By The Department Of Energy | Planarization of metal films for multilevel interconnects |
US4681795A (en) | 1985-06-24 | 1987-07-21 | The United States Of America As Represented By The Department Of Energy | Planarization of metal films for multilevel interconnects |
US4609614A (en) | 1985-06-24 | 1986-09-02 | Rca Corporation | Process of using absorptive layer in optical lithography with overlying photoresist layer to form relief pattern on substrate |
US4816049A (en) | 1985-07-12 | 1989-03-28 | Hoya Corporation | Process of surface treating laser glass |
JPS6243424A (ja) | 1985-08-20 | 1987-02-25 | Shin Etsu Chem Co Ltd | シルセスキオキサン乳濁液の製造方法 |
US5034189A (en) | 1985-08-27 | 1991-07-23 | The Regents Of The University Of California | Fluorescent probe for rapid measurement of analyte concentration |
EP0217137B1 (en) | 1985-08-29 | 1992-04-08 | E.I. Du Pont De Nemours And Company | Photopolymerizable composition of acrylic copolymer containing dicyclopentenyl acrylate or methacrylate |
US4786569A (en) | 1985-09-04 | 1988-11-22 | Ciba-Geigy Corporation | Adhesively bonded photostructurable polyimide film |
US4923638A (en) | 1985-09-30 | 1990-05-08 | Fuji Photo Film Co., Ltd. | Near infrared absorbing composition |
US4723978A (en) | 1985-10-31 | 1988-02-09 | International Business Machines Corporation | Method for a plasma-treated polysiloxane coating |
EP0225676B1 (en) | 1985-12-09 | 1994-07-06 | Nippon Paint Co., Ltd. | Photosensitive resin base printing material |
JP2619358B2 (ja) | 1986-01-08 | 1997-06-11 | 株式会社日立製作所 | 感光性樹脂組成物 |
US4678835A (en) | 1986-01-30 | 1987-07-07 | Ppg Industries, Inc. | Coating composition containing an ungelled reaction product as a curative |
US4693959A (en) | 1986-03-07 | 1987-09-15 | E.I. Du Pont De Nemours And Company | Adhesion promotion in photoresist lamination and processing |
US4676867A (en) | 1986-06-06 | 1987-06-30 | Rockwell International Corporation | Planarization process for double metal MOS using spin-on glass as a sacrificial layer |
EP0255303B1 (en) | 1986-07-25 | 1989-10-11 | Oki Electric Industry Company, Limited | Negative resist material, method for its manufacture and method for using it |
JPS63139303A (ja) | 1986-08-05 | 1988-06-11 | Fuji Photo Film Co Ltd | 赤外線吸収性組成物 |
US4806504A (en) | 1986-09-11 | 1989-02-21 | Fairchild Semiconductor Corporation | Planarization method |
US4732858A (en) | 1986-09-17 | 1988-03-22 | Brewer Science, Inc. | Adhesion promoting product and process for treating an integrated circuit substrate |
US4950583A (en) | 1986-09-17 | 1990-08-21 | Brewer Science Inc. | Adhesion promoting product and process for treating an integrated circuit substrate therewith |
US4731264A (en) | 1986-10-03 | 1988-03-15 | Ppg Industries, Inc. | Sol-gel compositions containing silane and alumina |
US4863827A (en) | 1986-10-20 | 1989-09-05 | American Hoechst Corporation | Postive working multi-level photoresist |
US6033283A (en) | 1986-10-21 | 2000-03-07 | Applied Elastomerics, Inc. | Humdinger, string spinning toy |
DE3635821A1 (de) | 1986-10-22 | 1988-04-28 | Bayer Ag | Mikrokapseln mit verbesserten waenden |
US4756977A (en) | 1986-12-03 | 1988-07-12 | Dow Corning Corporation | Multilayer ceramics from hydrogen silsesquioxane |
US4822697A (en) | 1986-12-03 | 1989-04-18 | Dow Corning Corporation | Platinum and rhodium catalysis of low temperature formation multilayer ceramics |
US4898907A (en) | 1986-12-03 | 1990-02-06 | Dow Corning Corporation | Compositions of platinum and rhodium catalyst in combination with hydrogen silsesquioxane resin |
US4808653A (en) | 1986-12-04 | 1989-02-28 | Dow Corning Corporation | Coating composition containing hydrogen silsesquioxane resin and other metal oxide precursors |
US4911992A (en) | 1986-12-04 | 1990-03-27 | Dow Corning Corporation | Platinum or rhodium catalyzed multilayer ceramic coatings from hydrogen silsesquioxane resin and metal oxides |
US5008320A (en) | 1986-12-04 | 1991-04-16 | Dow Corning Corporation | Platinum or rhodium catalyzed multilayer ceramic coatings from hydrogen silsesquioxane resin and metal oxides |
US4753855A (en) | 1986-12-04 | 1988-06-28 | Dow Corning Corporation | Multilayer ceramic coatings from metal oxides for protection of electronic devices |
US4746693A (en) | 1986-12-12 | 1988-05-24 | Rca Corporation | Polyalkylsilsesquioxane coating composition |
JPH0819381B2 (ja) | 1987-01-06 | 1996-02-28 | 日本合成ゴム株式会社 | コーティング用組成物 |
US5328976A (en) | 1987-01-09 | 1994-07-12 | Allied-Signal Inc. | Carbon-containing black glass monoliths |
US5079600A (en) | 1987-03-06 | 1992-01-07 | Schnur Joel M | High resolution patterning on solid substrates |
US5077085A (en) | 1987-03-06 | 1991-12-31 | Schnur Joel M | High resolution metal patterning of ultra-thin films on solid substrates |
US5389496A (en) | 1987-03-06 | 1995-02-14 | Rohm And Haas Company | Processes and compositions for electroless metallization |
DE3810247A1 (de) | 1987-03-26 | 1988-10-06 | Toshiba Kawasaki Kk | Lichtempfindliche beschichtungsmasse |
US4782009A (en) | 1987-04-03 | 1988-11-01 | General Electric Company | Method of coating and imaging photopatternable silicone polyamic acid |
US4855199A (en) | 1987-04-03 | 1989-08-08 | General Electric Company | Photopatterned product of silicone polyamic acid on a transparent substrate |
DE3719844A1 (de) | 1987-06-13 | 1988-12-29 | Basf Ag | Durch photopolymersisation vernetzbares gemisch |
US4839274A (en) | 1987-06-30 | 1989-06-13 | Eastman Kodak Company | Novel polymethine dyes and UV absorbers containing a triarylborylisocyano group and imaging compositions containing these dyes |
EP0301641A1 (en) | 1987-07-23 | 1989-02-01 | Koninklijke Philips Electronics N.V. | Master disc and method of manufacturing a matrix |
US4973510A (en) | 1987-09-02 | 1990-11-27 | Teijin Limited | Coated sheet material and process for producing same |
US5024923A (en) | 1987-09-09 | 1991-06-18 | Fuji Photo Film Co., Ltd. | Infrared absorbent compositions |
US4962996A (en) | 1987-09-11 | 1990-10-16 | Raychem Corporation | Protected fiber optic waveguide |
DE3735852A1 (de) | 1987-10-23 | 1989-05-03 | Hoechst Ag | Positiv arbeitendes lichtempfindliches gemisch, enthaltend einen farbstoff, und daraus hergestelltes positiv arbeitendes lichtempfindliches aufzeichnungsmaterial |
AU611106B2 (en) | 1987-10-24 | 1991-06-06 | Ito Optical Industrial Co. Ltd. | Optical antireflection treatment |
US4925772A (en) | 1987-11-26 | 1990-05-15 | Siemens Aktiengesellschaft | Anti-radiation covering for electronic components |
US5272026A (en) | 1987-12-18 | 1993-12-21 | Ucb S.A. | Negative image process utilizing photosensitive compositions containing aromatic fused polycyclic sulfonic acid and partial ester or phenolic resin with diazoquinone sulfonic acid or diazoquinone carboxylic acid, and associated imaged article |
GB8729510D0 (en) | 1987-12-18 | 1988-02-03 | Ucb Sa | Photosensitive compositions containing phenolic resins & diazoquinone compounds |
US4847162A (en) | 1987-12-28 | 1989-07-11 | Dow Corning Corporation | Multilayer ceramics coatings from the ceramification of hydrogen silsequioxane resin in the presence of ammonia |
US4849296A (en) | 1987-12-28 | 1989-07-18 | Dow Corning Corporation | Multilayer ceramic coatings from metal oxides and hydrogen silsesquioxane resin ceramified in ammonia |
JPH01185367A (ja) | 1988-01-18 | 1989-07-24 | Toshiba Silicone Co Ltd | 表面処理されたポリメチルシルセスキオキサン粉末の製造方法 |
JPH021778A (ja) | 1988-02-02 | 1990-01-08 | Hitachi Chem Co Ltd | 半導体の表面保護又は層間絶縁用酸化物被膜形成用塗布液および酸化物被膜の製造法 |
US4926383A (en) | 1988-02-02 | 1990-05-15 | National Semiconductor Corporation | BiCMOS write-recovery circuit |
US6040251A (en) | 1988-03-14 | 2000-03-21 | Nextec Applications Inc. | Garments of barrier webs |
US5194364A (en) | 1988-03-16 | 1993-03-16 | Fujitsu Limited | Process for formation of resist patterns |
US5391463A (en) | 1988-04-14 | 1995-02-21 | The United States Of America As Represented By The Secretary Of The Navy | Surface modification to create regions resistant to adsorption of biomolecules |
US4942083A (en) | 1988-05-16 | 1990-07-17 | Smith Novis W Jr | Abrasion resistant coatings |
EP0345219B1 (de) | 1988-05-31 | 1994-02-02 | Ciba-Geigy Ag | Wässrige Dispersion von 2-(2'-Hydroxyphenyl-)benzotriazolen |
US4921778A (en) | 1988-07-29 | 1990-05-01 | Shipley Company Inc. | Photoresist pattern fabrication employing chemically amplified metalized material |
US4943511A (en) | 1988-08-05 | 1990-07-24 | Morton Thiokol, Inc. | High sensitivity mid and deep UV resist |
US5403680A (en) | 1988-08-30 | 1995-04-04 | Osaka Gas Company, Ltd. | Photolithographic and electron beam lithographic fabrication of micron and submicron three-dimensional arrays of electronically conductive polymers |
US5173368A (en) | 1988-09-14 | 1992-12-22 | Pilkington Visioncare Holdings, Inc. | Solution-applied antireflective coatings |
US4954414A (en) | 1988-11-08 | 1990-09-04 | The Mead Corporation | Photosensitive composition containing a transition metal coordination complex cation and a borate anion and photosensitive materials employing the same |
US5199979A (en) | 1988-11-25 | 1993-04-06 | Ppg Industries, Inc. | UV resistant, abrasion resistant coatings |
US4981530A (en) | 1988-11-28 | 1991-01-01 | International Business Machines Corporation | Planarizing ladder-type silsesquioxane polymer insulation layer |
US5455145A (en) | 1988-12-24 | 1995-10-03 | Mitsubishi Denki Kabushiki Kaisha | Method of manufacturing double layer resist pattern and double layer resist structure |
US5026624A (en) | 1989-03-03 | 1991-06-25 | International Business Machines Corporation | Composition for photo imaging |
US5300402A (en) | 1988-12-30 | 1994-04-05 | International Business Machines Corporation | Composition for photo imaging |
US4940651A (en) | 1988-12-30 | 1990-07-10 | International Business Machines Corporation | Method for patterning cationic curable photoresist |
US5747223A (en) | 1988-12-30 | 1998-05-05 | International Business Machines Corporation | Composition for photoimaging |
US5439766A (en) | 1988-12-30 | 1995-08-08 | International Business Machines Corporation | Composition for photo imaging |
US6180317B1 (en) | 1988-12-30 | 2001-01-30 | International Business Machines Corporation | Composition for photoimaging |
DE68908872T2 (de) | 1989-02-03 | 1994-02-10 | Mitsubishi Metal Corp | Verfahren zum Ziehen von Einkristallen. |
US6210862B1 (en) | 1989-03-03 | 2001-04-03 | International Business Machines Corporation | Composition for photoimaging |
US5278010A (en) | 1989-03-03 | 1994-01-11 | International Business Machines Corporation | Composition for photo imaging |
US4885262A (en) | 1989-03-08 | 1989-12-05 | Intel Corporation | Chemical modification of spin-on glass for improved performance in IC fabrication |
DE69027799T2 (de) | 1989-03-14 | 1997-01-23 | Ibm | Chemisch amplifizierter Photolack |
US5339197A (en) * | 1989-03-31 | 1994-08-16 | Yen Yung Tsai | Optical pellicle with controlled transmission peaking |
US5009809A (en) | 1989-05-16 | 1991-04-23 | J. M. Huber Corporation | High temperature endothermic blowing agents compositions and applications |
US5009810A (en) | 1989-05-16 | 1991-04-23 | J. M. Huber Corporation | Endothermic blowing agents compositions and applications |
US5106534A (en) | 1989-05-16 | 1992-04-21 | J. M. Huber Corporation | Endothermic blowing agents compositions and applications |
US5137655A (en) | 1989-05-16 | 1992-08-11 | J. M. Huber Corporation | High temperature endothermic blowing agents compositions and applications |
US5250224A (en) | 1989-05-16 | 1993-10-05 | J. M. Huber Corporation | Foamed products containing endothermic blowing agents and processes |
US5317044A (en) | 1989-05-16 | 1994-05-31 | J. M. Huber Corporation | Endothermic blowing agents for surface migration of components in foamed products, compositions and applications |
US5252618A (en) | 1989-05-16 | 1993-10-12 | J. M. Huber Corporation | Endothermic blowing agents for strengthening weld lines in molded thermoplastic resins and products |
US5302455A (en) | 1989-05-16 | 1994-04-12 | J. M. Huber Corporation | Endothermic blowing agents compositions and applications |
US5128232A (en) | 1989-05-22 | 1992-07-07 | Shiply Company Inc. | Photoresist composition with copolymer binder having a major proportion of phenolic units and a minor proportion of non-aromatic cyclic alcoholic units |
US5102695A (en) | 1989-07-07 | 1992-04-07 | Swedlow, Inc. | Highly tintable abrasion resistant coatings |
US5013608A (en) | 1989-07-07 | 1991-05-07 | Swedlow, Inc. | Highly tintable abrasion resistant coatings |
US4999397A (en) | 1989-07-28 | 1991-03-12 | Dow Corning Corporation | Metastable silane hydrolyzates and process for their preparation |
US5045592A (en) | 1989-07-28 | 1991-09-03 | Dow Corning Corporation | Metastable silane hydrolyzates |
US5112728A (en) | 1989-10-05 | 1992-05-12 | Konica Corporation | Silver halide photographic light-sensitive material |
US5059512A (en) | 1989-10-10 | 1991-10-22 | International Business Machines Corporation | Ultraviolet light sensitive photoinitiator compositions, use thereof and radiation sensitive compositions |
US5212046A (en) | 1989-10-17 | 1993-05-18 | Shipley Company Inc. | Near UV photoresist |
EP0423446B1 (en) | 1989-10-17 | 1998-03-04 | Shipley Company Inc. | Near UV photoresist |
CA2027031A1 (en) | 1989-10-18 | 1991-04-19 | Loren A. Haluska | Hermetic substrate coatings in an inert gas atmosphere |
US5252340A (en) | 1989-12-14 | 1993-10-12 | Isolyser Company, Inc. | Method of producing an absorbent composition |
JP2718231B2 (ja) | 1990-01-10 | 1998-02-25 | 三菱電機株式会社 | 高純度末端ヒドロキシフェニルラダーシロキサンプレポリマーの製造方法および高純度末端ヒドロキシフェニルラダーポリシロキサンの製造方法 |
US4973526A (en) | 1990-02-15 | 1990-11-27 | Dow Corning Corporation | Method of forming ceramic coatings and resulting articles |
US5043789A (en) | 1990-03-15 | 1991-08-27 | International Business Machines Corporation | Planarizing silsesquioxane copolymer coating |
DE69120125T2 (de) | 1990-03-28 | 1996-12-12 | Japan Synthetic Rubber Co Ltd | Polysiloxancompositpolymerpartikel |
US5104692A (en) | 1990-04-20 | 1992-04-14 | Pilkington Visioncare Holdings, Inc. | Two-layer antireflective coating applied in solution |
US5055372A (en) | 1990-04-23 | 1991-10-08 | The Mead Corporation | Photohardenable composition containing borate salts and ketone initiators |
US5884639A (en) | 1996-03-08 | 1999-03-23 | Applied Elastomerics, Inc. | Crystal gels with improved properties |
US5962572A (en) | 1994-04-19 | 1999-10-05 | Applied Elastomerics, Inc. | Oriented gel and oriented gel articles |
US6117176A (en) | 1993-11-15 | 2000-09-12 | Applied Elastomerics, Inc. | Elastic-crystal gel |
US6050871A (en) | 1994-04-19 | 2000-04-18 | Applied Elastomerics, Inc. | Crystal gel airfoils with improved tear resistance and gel airfoils with profiles capable of exhibiting time delay recovery from deformation |
US5868597A (en) | 1990-05-21 | 1999-02-09 | Applied Elastomerics, Inc. | Ultra-soft, ultra-elastic gel airfoils |
US5938499A (en) | 1993-11-15 | 1999-08-17 | Applied Elastomerics, Inc. | Elastic gel toy |
US6148830A (en) | 1994-04-19 | 2000-11-21 | Applied Elastomerics, Inc. | Tear resistant, multiblock copolymer gels and articles |
US5760117A (en) | 1990-05-21 | 1998-06-02 | Applied Elastomerics, Inc. | Gelatinous composition and articles |
US6552109B1 (en) | 1994-04-19 | 2003-04-22 | Applied Elastomerics, Inc. | Gelatinous elastomer compositions and articles |
US6333374B1 (en) | 1990-05-21 | 2001-12-25 | Applied Elastomerics, Inc. | Fluffy, strong, solid elastic gels, articles and method of making same |
DE69101338T2 (de) | 1990-05-25 | 1994-09-01 | Matsushita Electric Ind Co Ltd | Lichtempfindliche Materialien, die organische photoleitfähige Substanzen enthalten in einem polymeren Bindemittel, das aromatische Ringe umfasst, die mit OH-Gruppen und Bromatomen verbunden sind. |
US5116637A (en) | 1990-06-04 | 1992-05-26 | Dow Corning Corporation | Amine catalysts for the low temperature conversion of silica precursors to silica |
US5262201A (en) | 1990-06-04 | 1993-11-16 | Dow Corning Corporation | Low temperature process for converting silica precursor coatings to ceramic silica coatings by exposure to ammonium hydroxide or an environment to which water vapor and ammonia vapor have been added |
US5059448A (en) | 1990-06-18 | 1991-10-22 | Dow Corning Corporation | Rapid thermal process for obtaining silica coatings |
JP3064337B2 (ja) | 1990-06-21 | 2000-07-12 | オリンパス光学工業株式会社 | 実像式変倍ファインダー光学系 |
US5126289A (en) | 1990-07-20 | 1992-06-30 | At&T Bell Laboratories | Semiconductor lithography methods using an arc of organic material |
US5100503A (en) | 1990-09-14 | 1992-03-31 | Ncr Corporation | Silica-based anti-reflective planarizing layer |
US5152834A (en) | 1990-09-14 | 1992-10-06 | Ncr Corporation | Spin-on glass composition |
US5472488A (en) | 1990-09-14 | 1995-12-05 | Hyundai Electronics America | Coating solution for forming glassy layers |
US5302198A (en) | 1990-09-14 | 1994-04-12 | Ncr Corporation | Coating solution for forming glassy layers |
US5527872A (en) | 1990-09-14 | 1996-06-18 | At&T Global Information Solutions Company | Electronic device with a spin-on glass dielectric layer |
US5340644A (en) | 1990-10-05 | 1994-08-23 | Hercules Incorporated | Organosilicon compositions |
US5059500A (en) | 1990-10-10 | 1991-10-22 | Polaroid Corporation | Process for forming a color filter |
US5140396A (en) | 1990-10-10 | 1992-08-18 | Polaroid Corporation | Filter and solid state imager incorporating this filter |
KR950002949B1 (ko) | 1990-10-16 | 1995-03-28 | 미쓰이세끼유 가가꾸고오교오 가부시끼가이샤 | 고광선 투과성 방진막, 그 제조방법 및 방진체 |
US5055376A (en) | 1990-11-13 | 1991-10-08 | Eastman Kodak Company | Curable compositions containing onium salt photoinitiators which have a chromophore linked to the onium salt moiety through the 3-position and method of use |
JP2991786B2 (ja) | 1990-11-22 | 1999-12-20 | 三菱電機株式会社 | シリコーン樹脂組成物 |
US5063267A (en) | 1990-11-28 | 1991-11-05 | Dow Corning Corporation | Hydrogen silsesquioxane resin fractions and their use as coating materials |
ATE127870T1 (de) | 1990-12-13 | 1995-09-15 | Ciba Geigy Ag | Wässrige dispersion schwerlöslicher uv-absorber. |
US5662109A (en) | 1990-12-14 | 1997-09-02 | Hutson; William H. | Method and system for multi-dimensional imaging and analysis for early detection of diseased tissue |
US5256510A (en) | 1990-12-21 | 1993-10-26 | Eastman Kodak Company | Photoelectrographic imaging with near-infrared sensitizing dyes |
US5219788A (en) | 1991-02-25 | 1993-06-15 | Ibm Corporation | Bilayer metallization cap for photolithography |
US5520855A (en) | 1991-03-20 | 1996-05-28 | Kabushiki Kaisha Toshiba | Coating solution composition for forming glass gel thin film, color glass gel filter, and display device using the same |
US5648201A (en) | 1991-04-25 | 1997-07-15 | The United Sates Of America As Represented By The Secretary Of The Navy | Efficient chemistry for selective modification and metallization of substrates |
JPH05202483A (ja) | 1991-04-25 | 1993-08-10 | Shipley Co Inc | 無電解金属化方法と組成物 |
US5165955A (en) | 1991-05-28 | 1992-11-24 | Dow Corning Corporation | Method of depositing a coating containing silicon and oxygen |
US5166093A (en) | 1991-07-31 | 1992-11-24 | Micron Technology, Inc. | Method to reduce the reflectivity of a semi-conductor metallic surface |
DE4132697A1 (de) | 1991-10-01 | 1993-04-08 | Wacker Chemie Gmbh | Verfahren zur herstellung von organopolysiloxanharz |
US5418136A (en) | 1991-10-01 | 1995-05-23 | Biostar, Inc. | Devices for detection of an analyte based upon light interference |
US5212218A (en) | 1991-10-15 | 1993-05-18 | A. B. Chance Company | Hydrophobic, erodiable synthetic resin composition for electrical insulators |
JP3162441B2 (ja) | 1991-10-28 | 2001-04-25 | 三菱化学株式会社 | 高剛性プロピレン共重合体組成物 |
US5227334A (en) | 1991-10-31 | 1993-07-13 | Micron Technology, Inc. | LPCVD process for depositing titanium nitride (tin) films and silicon substrates produced thereby |
US6528235B2 (en) | 1991-11-15 | 2003-03-04 | Shipley Company, L.L.C. | Antihalation compositions |
US6472128B2 (en) | 1996-04-30 | 2002-10-29 | Shipley Company, L.L.C. | Antihalation compositions |
US6773864B1 (en) | 1991-11-15 | 2004-08-10 | Shipley Company, L.L.C. | Antihalation compositions |
US6165697A (en) | 1991-11-15 | 2000-12-26 | Shipley Company, L.L.C. | Antihalation compositions |
WO1993016138A1 (en) | 1992-02-05 | 1993-08-19 | Toray Industries, Inc. | Primer and double-layer coated article |
JP2694097B2 (ja) | 1992-03-03 | 1997-12-24 | インターナショナル・ビジネス・マシーンズ・コーポレイション | 反射防止コーティング組成物 |
JP3257027B2 (ja) | 1992-04-17 | 2002-02-18 | ソニー株式会社 | 自己診断情報の記録機構を有する情報記録再生装置 |
US5457081A (en) | 1992-05-15 | 1995-10-10 | Dai Nippon Printing Co., Ltd. | Thermal transfer image receiving sheet |
JP2753921B2 (ja) | 1992-06-04 | 1998-05-20 | 富士写真フイルム株式会社 | ポジ型フオトレジスト組成物 |
JP3252446B2 (ja) | 1992-06-25 | 2002-02-04 | 大同特殊鋼株式会社 | 熱間静水圧加圧成形用のカプセルおよび熱間静水圧加圧成形方法 |
US5576247A (en) | 1992-07-31 | 1996-11-19 | Matsushita Electric Industrial Co., Ltd. | Thin layer forming method wherein hydrophobic molecular layers preventing a BPSG layer from absorbing moisture |
US6867253B1 (en) | 1994-04-19 | 2005-03-15 | Applied Elastomerics, Inc. | Tear resistant, crystalline midblock copolymer gels and articles |
US6420475B1 (en) | 1994-04-19 | 2002-07-16 | Applied Elastomerics, Inc. | Tear resistant elastic crystal gels gel composites and their uses |
US6627275B1 (en) | 1994-04-19 | 2003-09-30 | Applied Elastomerics, Incorporated | Tear resistant elastic crystal gels suitable for inflatable restraint cushions and other uses |
US6324703B1 (en) | 1994-04-19 | 2001-12-04 | Applied Elastomerics, Inc. | Strong, soft, tear resistant insulating compositions and composites for extreme cold weather use |
US6794440B2 (en) | 1994-04-19 | 2004-09-21 | Applied Elastomerics, Inc. | Tear resistant gelatinous elastomer compositions and articles for use as fishing bait |
US6909220B2 (en) | 1994-04-19 | 2005-06-21 | Applied Elastomerics, Inc. | High strain tear resistant gels and gel composites for use as artificial muscle actuators |
JPH06333803A (ja) | 1992-09-18 | 1994-12-02 | Sharp Corp | 投影型露光装置用フィルター |
DE4394591T1 (de) | 1992-09-24 | 1994-10-20 | Kansai Paint Co Ltd | Decküberzugszusammensetzung und Filmbildungsverfahren unter dessen Verwendung |
CA2107715A1 (en) | 1992-10-06 | 1994-04-07 | Hiroyuki Naito | Solvent-free organosiloxane composition and its use |
US5873931A (en) | 1992-10-06 | 1999-02-23 | Minnesota Mining And Manufacturing Company | Coating composition having anti-reflective and anti-fogging properties |
JPH06140396A (ja) | 1992-10-23 | 1994-05-20 | Yamaha Corp | 半導体装置とその製法 |
US5384357A (en) | 1992-11-02 | 1995-01-24 | General Electric Company | Infrared radiation curable organopolysiloxane compositions |
JP2716330B2 (ja) * | 1992-11-13 | 1998-02-18 | セントラル硝子株式会社 | 低反射ガラスおよびその製法 |
US5395734A (en) | 1992-11-30 | 1995-03-07 | Minnesota Mining And Manufacturing Company | Shoot and run printing materials |
US5719249A (en) | 1993-11-29 | 1998-02-17 | Kanegafuchi Kagaku Kogyo Kabushiki Kaisha | Reactive silicon group-containing polyoxyalkylene-polysiloxane copolymer |
DE4241727A1 (de) | 1992-12-10 | 1994-06-16 | Wacker Chemie Gmbh | In Wasser selbstdispergierende, Organopolysiloxan anhaltende Zusammensetzungen |
US5449712A (en) | 1993-01-13 | 1995-09-12 | Thoro System Products, Inc. | Organosilicon emulsions for rendering porous substrates water repellent |
US5414069A (en) | 1993-02-01 | 1995-05-09 | Polaroid Corporation | Electroluminescent polymers, processes for their use, and electroluminescent devices containing these polymers |
US5302849A (en) | 1993-03-01 | 1994-04-12 | Motorola, Inc. | Plastic and grid array semiconductor device and method for making the same |
US5387480A (en) | 1993-03-08 | 1995-02-07 | Dow Corning Corporation | High dielectric constant coatings |
US5512418A (en) | 1993-03-10 | 1996-04-30 | E. I. Du Pont De Nemours And Company | Infra-red sensitive aqueous wash-off photoimaging element |
US6336859B2 (en) | 1993-03-31 | 2002-01-08 | Progressive Games, Inc. | Method for progressive jackpot gaming |
US5328975A (en) | 1993-04-02 | 1994-07-12 | Ppg Industries, Inc. | Ultraviolet radiation absorbing coating |
JP3007766B2 (ja) | 1993-04-19 | 2000-02-07 | 松下精工株式会社 | 斜流ファン |
US6156223A (en) * | 1993-04-26 | 2000-12-05 | Armstrong World Industries, Inc. | Xerogels and their preparation |
JP3152544B2 (ja) | 1993-06-24 | 2001-04-03 | シャープ株式会社 | スキャナ |
FR2704766B1 (fr) | 1993-05-06 | 1995-07-28 | Salomon Sa | Dispositif interface entre un ski et des éléments de fixation. |
US5576359A (en) | 1993-07-20 | 1996-11-19 | Wako Pure Chemical Industries, Ltd. | Deep ultraviolet absorbent composition |
US5498748A (en) | 1993-07-20 | 1996-03-12 | Wako Pure Chemical Industries, Ltd. | Anthracene derivatives |
JP3200257B2 (ja) | 1993-09-13 | 2001-08-20 | キヤノン株式会社 | 画像復号装置 |
US5320868A (en) | 1993-09-13 | 1994-06-14 | Dow Corning Corporation | Method of forming SI-O containing coatings |
DE4331162A1 (de) | 1993-09-14 | 1995-03-16 | Bayer Ag | Verfahren zur Herstellung von Cyaninfarbstoffen |
US5441765A (en) | 1993-09-22 | 1995-08-15 | Dow Corning Corporation | Method of forming Si-O containing coatings |
US5467626A (en) | 1993-10-01 | 1995-11-21 | The Boeing Company | Integral forming die system for superplastic metal forming |
US5382615A (en) | 1993-10-01 | 1995-01-17 | Eastman Chemical Company | Modified polyethylene based hot-melt adhesives for use in packaging |
US6776094B1 (en) | 1993-10-04 | 2004-08-17 | President & Fellows Of Harvard College | Kit For Microcontact Printing |
DE4338360A1 (de) | 1993-11-10 | 1995-05-11 | Inst Neue Mat Gemein Gmbh | Verfahren zur Herstellung von funktionellen glasartigen Schichten |
JP3272131B2 (ja) | 1993-12-27 | 2002-04-08 | マツダ株式会社 | 歯車変速機の噛合装置 |
JP3197135B2 (ja) | 1994-02-02 | 2001-08-13 | ポップリベット・ファスナー株式会社 | スタッド固定具 |
FR2716887B1 (fr) | 1994-03-01 | 1996-04-26 | Atochem Elf Sa | Polymères renforcés de microfibrilles de cellulose, latex, poudres, films, joncs correspondants, et leurs applications. |
EP0701121A4 (en) | 1994-03-11 | 1997-09-03 | Kawasaki Steel Co | ASSESSMENT PROCESS FOR PRODUCING INSULATION COATING USED Siloxanes COATING LIQUID USED FOR THE PRODUCTION OF INSULATION COATING, PROCESS FOR PRODUCING THE LIQUID, METHOD FOR PRODUCING THE ISOLATION COATING FOR SEMICONDUCTOR ELEMENTS AND METHOD FOR PRODUCING SEMICONDUCTOR DEVICES BY THE APPLICATION OF THE ABOVE PROCEDURES |
JP3026716B2 (ja) | 1994-03-16 | 2000-03-27 | 株式会社日立製作所 | 立体型表示装置 |
EP0675410B1 (en) | 1994-03-28 | 1999-08-04 | Wako Pure Chemical Industries Ltd | Resist composition for deep ultraviolet light |
US6161555A (en) | 1994-04-19 | 2000-12-19 | Applied Elastomerics, Inc. | Crystal gels useful as dental floss with improved high tear, high tensile, and resistance to high stress rupture properties |
US5759625A (en) | 1994-06-03 | 1998-06-02 | E. I. Du Pont De Nemours And Company | Fluoropolymer protectant layer for high temperature superconductor film and photo-definition thereof |
US5494858A (en) | 1994-06-07 | 1996-02-27 | Texas Instruments Incorporated | Method for forming porous composites as a low dielectric constant layer with varying porosity distribution electronics applications |
US5468591A (en) | 1994-06-14 | 1995-11-21 | Eastman Kodak Company | Barrier layer for laser ablative imaging |
JP3209476B2 (ja) | 1994-06-17 | 2001-09-17 | フジコピアン株式会社 | ファブリックインクリボン |
FR2721720B1 (fr) | 1994-06-27 | 1996-09-06 | Essilor Int | Lentille ophtalmique en verre organique à intercouche anti-chocs et son procédé de fabrication. |
US20020034630A1 (en) | 1994-06-27 | 2002-03-21 | Jean-Paul Cano | Ophthalmic lens made of organic glass with a shockproof intermediate layer, and method for making same |
US5910021A (en) | 1994-07-04 | 1999-06-08 | Yamaha Corporation | Manufacture of semiconductor device with fine pattens |
US5858547A (en) | 1994-07-06 | 1999-01-12 | Alliedsignal, Inc. | Novolac polymer planarization films for microelectronic structures |
US5729563A (en) | 1994-07-07 | 1998-03-17 | Hewlett-Packard Company | Method and apparatus for optically and thermally isolating surface emitting laser diodes |
US5976666A (en) | 1994-08-29 | 1999-11-02 | Sri International | Electromagnetic radiation absorbing devices and associated methods of manufacture and use |
US5498468A (en) | 1994-09-23 | 1996-03-12 | Kimberly-Clark Corporation | Fabrics composed of ribbon-like fibrous material and method to make the same |
US5527562A (en) | 1994-10-21 | 1996-06-18 | Aluminum Company Of America | Siloxane coatings for aluminum reflectors |
US5449639A (en) | 1994-10-24 | 1995-09-12 | Taiwan Semiconductor Manufacturing Company Ltd. | Disposable metal anti-reflection coating process used together with metal dry/wet etch |
KR0129950B1 (ko) | 1994-11-30 | 1998-04-03 | 김광호 | 반사방지막 조성물 |
FR2729307B1 (fr) | 1995-01-18 | 1997-04-18 | Seppic Sa | Utilisation d'esters d'acides gras ethoxyles comme composants auto-emulsionnables notamment utiles pour la preparation de produits de traitement phytosanitaires ou de medicaments a usage veterinaire ou humain |
US5679128A (en) | 1995-01-31 | 1997-10-21 | Latting; John Alvis | Dry-bonded nonionic adjuvants |
US5964917A (en) | 1995-01-31 | 1999-10-12 | Latting; John Alvis | Free-flowing fertilizer compositions |
JP3014456U (ja) | 1995-02-07 | 1995-08-08 | 朋雄 安嶋 | 剥離して支払伝票にできる預金証書 |
EP0727711A3 (en) | 1995-02-17 | 1997-04-09 | Ocg Microelectronic Materials | Photoresist compositions containing supercritical fluid fractionated polymeric resin binders |
JP3436435B2 (ja) | 1995-02-22 | 2003-08-11 | 東レ・ダウコーニング・シリコーン株式会社 | 紫外線硬化型シリコーン組成物の硬化方法 |
JP3045628U (ja) | 1995-03-16 | 1998-02-13 | 慶治郎 尾形 | 靴底模様の模様駒及び、模様構成 |
US5580819A (en) | 1995-03-22 | 1996-12-03 | Ppg Industries, Inc. | Coating composition, process for producing antireflective coatings, and coated articles |
US5546017A (en) | 1995-03-23 | 1996-08-13 | Micro Linear Corporation | Hot insertable active SCSI terminator |
US5945249A (en) | 1995-04-20 | 1999-08-31 | Imation Corp. | Laser absorbable photobleachable compositions |
GB9508031D0 (en) | 1995-04-20 | 1995-06-07 | Minnesota Mining & Mfg | UV-absorbing media bleachable by IR-radiation |
GB9617416D0 (en) | 1996-08-20 | 1996-10-02 | Minnesota Mining & Mfg | Thermal bleaching of infrared dyes |
US5935758A (en) | 1995-04-20 | 1999-08-10 | Imation Corp. | Laser induced film transfer system |
US6103779A (en) | 1995-04-26 | 2000-08-15 | Reinforced Polmers, Inc. | Method of preparing molding compositions with fiber reinforcement and products obtained therefrom |
US5747553A (en) | 1995-04-26 | 1998-05-05 | Reinforced Polymer Inc. | Low pressure acrylic molding composition with fiber reinforcement |
US6607991B1 (en) | 1995-05-08 | 2003-08-19 | Electron Vision Corporation | Method for curing spin-on dielectric films utilizing electron beam radiation |
US5635240A (en) | 1995-06-19 | 1997-06-03 | Dow Corning Corporation | Electronic coating materials using mixed polymers |
US6150250A (en) | 1995-07-05 | 2000-11-21 | Yamaha Corporation | Conductive layer forming method using etching mask with direction <200> |
JP3512911B2 (ja) | 1995-07-11 | 2004-03-31 | 富士写真フイルム株式会社 | 紫外線吸収剤前駆体化合物、それを含有する感光性樹脂組成物及び画像形成方法 |
JP3824334B2 (ja) | 1995-08-07 | 2006-09-20 | 東京応化工業株式会社 | シリカ系被膜形成用塗布液及び被膜形成方法 |
US5693691A (en) | 1995-08-21 | 1997-12-02 | Brewer Science, Inc. | Thermosetting anti-reflective coatings compositions |
AU6973296A (en) | 1995-09-12 | 1997-04-01 | Gelest, Inc. | Beta-substituted organosilsesquioxanes and use thereof |
US6770726B1 (en) | 1995-09-12 | 2004-08-03 | Gelest, Inc. | β-substituted organosilsesquioxane polymers |
US5583195A (en) | 1995-09-29 | 1996-12-10 | General Electric Company | Photocurable epoxy silicones functionalized with fluorescent or photosensitizing marker dyes |
US5580606A (en) | 1995-10-06 | 1996-12-03 | Singapore Institute Of Standards Etc. | Method for forming interference anti-reflective coatings by plasma surface modification |
US5693701A (en) | 1995-10-26 | 1997-12-02 | Dow Corning Corporation | Tamper-proof electronic coatings |
JPH09120157A (ja) | 1995-10-25 | 1997-05-06 | Fuji Photo Film Co Ltd | 湿し水不要感光性平版印刷版 |
US5663286A (en) | 1995-11-09 | 1997-09-02 | H.B. Fuller Licensing And Financing, Inc. | Nonwoven web comprising water soluble polyamides and articles constructed therefrom |
US5672243A (en) | 1995-11-28 | 1997-09-30 | Mosel Vitelic, Inc. | Antireflection coating for highly reflective photolithographic layers comprising chromium oxide or chromium suboxide |
TW376408B (en) | 1995-12-01 | 1999-12-11 | Nissan Chemical Ind Ltd | Coating film having water repellency and low refractive index |
JP3930591B2 (ja) | 1995-12-22 | 2007-06-13 | 東陶機器株式会社 | 光触媒性親水性コーティング組成物、親水性被膜の形成方法および被覆物品 |
US5629437A (en) | 1996-01-30 | 1997-05-13 | Huls America Inc. | Preparation and use of alkyleneoxysilane compositions |
AU715653B2 (en) | 1996-02-13 | 2000-02-10 | Sola International Inc. | Color-neutral UV blocking coating for plastic lens |
US5756257A (en) | 1996-02-14 | 1998-05-26 | Imation Corp. | Color proofing article incorporating novel antihalation dye |
JP3028852U (ja) | 1996-03-08 | 1996-09-13 | 株式会社リボール | 軽量石目調不燃タイル |
JP3436843B2 (ja) | 1996-04-25 | 2003-08-18 | 東京応化工業株式会社 | リソグラフィー用下地材及びそれを用いたリソグラフィー用レジスト材料 |
US6291586B2 (en) | 1996-05-03 | 2001-09-18 | 3M Innovative Properties Company | Amide functional ultraviolet light absorbers for polyurethanes and polyureas |
US5994431A (en) | 1996-05-03 | 1999-11-30 | 3M Innovative Properties Company | Amide functional ultraviolet light absorbers for polyolefins |
JPH09306954A (ja) | 1996-05-20 | 1997-11-28 | Hitachi Ltd | 半導体装置及びその実装方法並びに実装構造体 |
TW354392B (en) | 1996-07-03 | 1999-03-11 | Du Pont | Photomask blanks |
TW515926B (en) | 1996-07-10 | 2003-01-01 | Matsushita Electric Ind Co Ltd | Liquid crystal alignment film and method for producing the same, and liquid crystal display apparatus using the same and method for producing the same |
JP3222386B2 (ja) * | 1996-07-12 | 2001-10-29 | 信越化学工業株式会社 | コーティング剤組成物及びそのコーティング組成物で処理してなる物品 |
US6040053A (en) | 1996-07-19 | 2000-03-21 | Minnesota Mining And Manufacturing Company | Coating composition having anti-reflective and anti-fogging properties |
JPH1060280A (ja) | 1996-08-14 | 1998-03-03 | Japan Synthetic Rubber Co Ltd | 水系分散体 |
US6072018A (en) | 1996-09-30 | 2000-06-06 | Virginia Tech Intellectual Properties, Inc. | High abrasion resistant coating material |
DE19642419A1 (de) * | 1996-10-14 | 1998-04-16 | Fraunhofer Ges Forschung | Verfahren und Beschichtungszusammensetzung zur Herstellung einer Antireflexionsbeschichtung |
EP0917550A4 (en) | 1996-10-25 | 1999-12-29 | Blue River International L L C | COMPOSITIONS FOR SILICON COATINGS AND USES THEREOF |
US5767014A (en) | 1996-10-28 | 1998-06-16 | International Business Machines Corporation | Integrated circuit and process for its manufacture |
US6020410A (en) | 1996-10-29 | 2000-02-01 | Alliedsignal Inc. | Stable solution of a silsesquioxane or siloxane resin and a silicone solvent |
US5922299A (en) | 1996-11-26 | 1999-07-13 | Battelle Memorial Institute | Mesoporous-silica films, fibers, and powders by evaporation |
US5695551A (en) | 1996-12-09 | 1997-12-09 | Dow Corning Corporation | Water repellent composition |
JP3207774B2 (ja) | 1996-12-11 | 2001-09-10 | 双福鋼器株式会社 | 入出庫指示装置 |
KR100276803B1 (ko) | 1996-12-13 | 2001-01-15 | 이마이 기요스케 | 실리콘 에멀젼 코팅재 조성물과 그것의 제조방법 |
US6165684A (en) | 1996-12-24 | 2000-12-26 | Fuji Photo Film Co., Ltd. | Bottom anti-reflective coating material composition and method for forming resist pattern using the same |
US5939236A (en) | 1997-02-07 | 1999-08-17 | Shipley Company, L.L.C. | Antireflective coating compositions comprising photoacid generators |
US6174631B1 (en) | 1997-02-10 | 2001-01-16 | E. I. Du Pont De Nemours And Company | Attenuating phase shift photomasks |
US6491840B1 (en) | 2000-02-14 | 2002-12-10 | The Procter & Gamble Company | Polymer compositions having specified PH for improved dispensing and improved stability of wrinkle reducing compositions and methods of use |
DE19710461A1 (de) | 1997-03-13 | 1998-09-17 | Wacker Chemie Gmbh | Farbstoffreste aufweisende Organopolysiloxane |
JP3415741B2 (ja) | 1997-03-31 | 2003-06-09 | 東レ・ダウコーニング・シリコーン株式会社 | 電気絶縁性薄膜形成用組成物および電気絶縁性薄膜の形成方法 |
US5776559A (en) | 1997-04-11 | 1998-07-07 | Woolford; Esther | Electric Christmas tree |
US6503850B1 (en) * | 1997-04-17 | 2003-01-07 | Alliedsignal Inc. | Process for producing nanoporous dielectric films at high pH |
US6218497B1 (en) | 1997-04-21 | 2001-04-17 | Alliedsignal Inc. | Organohydridosiloxane resins with low organic content |
US6143855A (en) | 1997-04-21 | 2000-11-07 | Alliedsignal Inc. | Organohydridosiloxane resins with high organic content |
US6048804A (en) | 1997-04-29 | 2000-04-11 | Alliedsignal Inc. | Process for producing nanoporous silica thin films |
EP0989170B1 (en) | 1997-05-13 | 2002-10-02 | Kirin Beer Kabushiki Kaisha | Coating material for forming vitreous coating film, method of coating with the same, and coater |
TW473653B (en) | 1997-05-27 | 2002-01-21 | Clariant Japan Kk | Composition for anti-reflective film or photo absorption film and compound used therein |
JPH113888A (ja) | 1997-05-28 | 1999-01-06 | Texas Instr Inc <Ti> | 集積回路誘電体及び方法 |
US5883011A (en) | 1997-06-18 | 1999-03-16 | Vlsi Technology, Inc. | Method of removing an inorganic antireflective coating from a semiconductor substrate |
US20010024685A1 (en) | 1997-06-19 | 2001-09-27 | Boulton Jonathan M. | Method for forming a protective coating and substrates coated with the same |
JP4012600B2 (ja) | 1997-06-23 | 2007-11-21 | 富士通株式会社 | 酸感応性重合体、レジスト組成物、レジストパターン形成方法、および半導体装置の製造方法 |
US6448331B1 (en) | 1997-07-15 | 2002-09-10 | Asahi Kasei Kabushiki Kaisha | Alkoxysilane/organic polymer composition for thin insulating film production and use thereof |
JP3473887B2 (ja) | 1997-07-16 | 2003-12-08 | 東京応化工業株式会社 | 反射防止膜形成用組成物及びそれを用いたレジストパターンの形成方法 |
US5962067A (en) | 1997-09-09 | 1999-10-05 | Lucent Technologies Inc. | Method for coating an article with a ladder siloxane polymer and coated article |
JP4053631B2 (ja) | 1997-10-08 | 2008-02-27 | Azエレクトロニックマテリアルズ株式会社 | 反射防止膜又は光吸収膜用組成物及びこれに用いる重合体 |
JPH11214658A (ja) | 1997-10-23 | 1999-08-06 | Texas Instr Inc <Ti> | 集積回路誘電体の製法 |
US6126733A (en) | 1997-10-31 | 2000-10-03 | Alliedsignal Inc. | Alcohol based precursors for producing nanoporous silica thin films |
US6090448A (en) | 1997-10-31 | 2000-07-18 | Alliedsignal Inc. | Polyol-based precursors for producing nanoporous silica thin films |
US5953627A (en) | 1997-11-06 | 1999-09-14 | International Business Machines Corporation | Process for manufacture of integrated circuit device |
US6177360B1 (en) | 1997-11-06 | 2001-01-23 | International Business Machines Corporation | Process for manufacture of integrated circuit device |
US6025232A (en) | 1997-11-12 | 2000-02-15 | Micron Technology, Inc. | Methods of forming field effect transistors and related field effect transistor constructions |
US6090399A (en) * | 1997-12-11 | 2000-07-18 | Rohm And Haas Company | Controlled release composition incorporating metal oxide glass comprising biologically active compound |
US6057239A (en) | 1997-12-17 | 2000-05-02 | Advanced Micro Devices, Inc. | Dual damascene process using sacrificial spin-on materials |
US6255671B1 (en) | 1998-01-05 | 2001-07-03 | International Business Machines Corporation | Metal embedded passivation layer structure for microelectronic interconnect formation, customization and repair |
US6173360B1 (en) | 1998-01-09 | 2001-01-09 | International Business Machines Corporation | Apparatus and method for allowing existing ECKD MVS DASD using an ESCON interface to be used by an open storage using SCSI-type interface |
US6190839B1 (en) | 1998-01-15 | 2001-02-20 | Shipley Company, L.L.C. | High conformality antireflective coating compositions |
US6042994A (en) | 1998-01-20 | 2000-03-28 | Alliedsignal Inc. | Nanoporous silica dielectric films modified by electron beam exposure and having low dielectric constant and low water content |
BR9815091A (pt) | 1998-01-22 | 2000-10-17 | Kettenback Fabrick Chemischer | "rebase de próteses e processo para a fabricação da mesma" |
US6190955B1 (en) | 1998-01-27 | 2001-02-20 | International Business Machines Corporation | Fabrication of trench capacitors using disposable hard mask |
EP1060022A1 (en) | 1998-02-04 | 2000-12-20 | Merck & Co., Inc. | Virtual wells for use in high throughput screening assays |
DE69914515T2 (de) | 1998-02-06 | 2004-12-16 | Seiwa Kasei Co., Ltd., Higashi-Osaka | Mikrokapsel mit spezifischer Wand und Verfahren zur Herstellung |
US5972616A (en) | 1998-02-20 | 1999-10-26 | The Board Of Trustees Of The University Of Arkansas | TADG-15: an extracellular serine protease overexpressed in breast and ovarian carcinomas |
US7022821B1 (en) | 1998-02-20 | 2006-04-04 | O'brien Timothy J | Antibody kit for the detection of TADG-15 protein |
US6503586B1 (en) | 1998-02-25 | 2003-01-07 | Arteva North America S.A.R.L. | Title improved infrared absorbing polyester packaging polymer |
JP3031325B2 (ja) | 1998-03-04 | 2000-04-10 | ダイキン工業株式会社 | 天井埋込式空気調和機 |
US6147407A (en) | 1998-03-27 | 2000-11-14 | Lucent Technologies Inc. | Article comprising fluorinated amorphous carbon and process for fabricating article |
JP3047883B2 (ja) | 1998-03-17 | 2000-06-05 | 日本電気株式会社 | テストモードを有する半導体装置の出力回路 |
US6177199B1 (en) | 1999-01-07 | 2001-01-23 | Alliedsignal Inc. | Dielectric films from organohydridosiloxane resins with low organic content |
US6218020B1 (en) | 1999-01-07 | 2001-04-17 | Alliedsignal Inc. | Dielectric films from organohydridosiloxane resins with high organic content |
US5985444A (en) | 1998-04-03 | 1999-11-16 | 3M Innovative Properties Company | Amide functional ultraviolet light absorbers for fluoropolymers |
US6344284B1 (en) | 1998-04-10 | 2002-02-05 | Organic Display Technology | Organic electroluminescent materials and devices made from such materials |
US5986344A (en) | 1998-04-14 | 1999-11-16 | Advanced Micro Devices, Inc. | Anti-reflective coating layer for semiconductor device |
DE19817069A1 (de) | 1998-04-17 | 1999-10-21 | Clariant Gmbh | Infrarotstrahlung reflektierende Farbmittel |
KR20010042973A (ko) | 1998-04-29 | 2001-05-25 | 테리 브레우어 | 셀룰로식 결합제로부터 유도된 급속 에칭, 열경화성 반사방지 코팅 |
US6576408B2 (en) | 1998-04-29 | 2003-06-10 | Brewer Science, Inc. | Thermosetting anti-reflective coatings comprising aryl urethanes of hydroxypropyl cellulose |
TWI234787B (en) | 1998-05-26 | 2005-06-21 | Tokyo Ohka Kogyo Co Ltd | Silica-based coating film on substrate and coating solution therefor |
US6461970B1 (en) | 1998-06-10 | 2002-10-08 | Micron Technology, Inc. | Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby |
US6022812A (en) | 1998-07-07 | 2000-02-08 | Alliedsignal Inc. | Vapor deposition routes to nanoporous silica |
EP1046958B1 (en) | 1998-07-10 | 2006-04-12 | AZ Electronic Materials USA Corp. | Use of a composition for bottom reflection preventive film |
US6444584B1 (en) | 1998-07-16 | 2002-09-03 | Taiwan Semiconductor Manufacturing Company | Plasma etch method for forming composite silicon/dielectric/silicon stack layer |
US6103456A (en) | 1998-07-22 | 2000-08-15 | Siemens Aktiengesellschaft | Prevention of photoresist poisoning from dielectric antireflective coating in semiconductor fabrication |
DE19834745A1 (de) | 1998-08-01 | 2000-02-03 | Agfa Gevaert Ag | Strahlungsempfindliches Gemisch mit IR-absorbierenden, anionischen Cyaninfarbstoffen und damit hergestelltes Aufzeichnungsmaterial |
GB9816922D0 (en) * | 1998-08-04 | 1998-09-30 | Pilkington Plc | Improvements in coating glass |
US6335296B1 (en) | 1998-08-06 | 2002-01-01 | Alliedsignal Inc. | Deposition of nanoporous silica films using a closed cup coater |
US6287286B1 (en) | 1998-08-25 | 2001-09-11 | Kimberly-Clark Worldwide, Inc. | Absorbent article having a reduced viability of candida albicans |
US6152906A (en) | 1998-08-25 | 2000-11-28 | Kimberly-Clark Worldwide, Inc. | Absorbent article having improved breathability |
US6217890B1 (en) | 1998-08-25 | 2001-04-17 | Susan Carol Paul | Absorbent article which maintains or improves skin health |
US6238379B1 (en) | 1998-08-25 | 2001-05-29 | Kimberly-Clark Worldwide, Inc. | Absorbent article with increased wet breathability |
US6448464B1 (en) | 1999-07-30 | 2002-09-10 | Kimberly-Clark Worldwide, Inc. | Absorbent article which maintains skin temperature when wet |
US6149934A (en) | 1999-04-23 | 2000-11-21 | Kimberly-Clark Worldwide, Inc. | Absorbent article having a lotionized bodyside liner |
US6497893B1 (en) | 1999-06-30 | 2002-12-24 | Kimberly-Clark Worldwide, Inc. | Silk protein treatment composition and treated substrate for transfer to skin |
US6037275A (en) | 1998-08-27 | 2000-03-14 | Alliedsignal Inc. | Nanoporous silica via combined stream deposition |
US6280911B1 (en) | 1998-09-10 | 2001-08-28 | Shipley Company, L.L.C. | Photoresist compositions comprising blends of ionic and non-ionic photoacid generators |
JP3773664B2 (ja) | 1998-09-11 | 2006-05-10 | 三菱電機株式会社 | 駆動制御装置、モジュール、および、複合モジュール |
US20020102483A1 (en) | 1998-09-15 | 2002-08-01 | Timothy Adams | Antireflective coating compositions |
US6140254A (en) | 1998-09-18 | 2000-10-31 | Alliedsignal Inc. | Edge bead removal for nanoporous dielectric silica coatings |
JP3523081B2 (ja) | 1998-09-21 | 2004-04-26 | 信越化学工業株式会社 | 有機珪素樹脂の製造方法及び該製造方法で得られた有機珪素樹脂を用いたポリウレタンフォームの製造方法 |
JP3852889B2 (ja) | 1998-09-24 | 2006-12-06 | 富士写真フイルム株式会社 | フォトレジスト用反射防止膜材料組成物 |
US6190830B1 (en) | 1998-09-29 | 2001-02-20 | Kodak Polychrome Graphics Llc | Processless direct write printing plate having heat sensitive crosslinked vinyl polymer with organoonium group and methods of imaging and printing |
US6503233B1 (en) | 1998-10-02 | 2003-01-07 | Kimberly-Clark Worldwide, Inc. | Absorbent article having good body fit under dynamic conditions |
US6667424B1 (en) | 1998-10-02 | 2003-12-23 | Kimberly-Clark Worldwide, Inc. | Absorbent articles with nits and free-flowing particles |
US6673982B1 (en) | 1998-10-02 | 2004-01-06 | Kimberly-Clark Worldwide, Inc. | Absorbent article with center fill performance |
US6562192B1 (en) | 1998-10-02 | 2003-05-13 | Kimberly-Clark Worldwide, Inc. | Absorbent articles with absorbent free-flowing particles and methods for producing the same |
JP3702108B2 (ja) | 1998-10-07 | 2005-10-05 | 株式会社東芝 | レジストパターン形成方法 |
JP2000129073A (ja) | 1998-10-26 | 2000-05-09 | Toyo Ink Mfg Co Ltd | 常温硬化性樹脂組成物および該樹脂組成物を塗工した基材 |
JP4366735B2 (ja) * | 1998-11-05 | 2009-11-18 | Jsr株式会社 | 重合体粒子を含有する研磨剤 |
JP3059016U (ja) | 1998-11-10 | 1999-07-02 | 啓次 二宮 | ブレーカー用防音装置 |
DE19852852A1 (de) | 1998-11-11 | 2000-05-18 | Inst Halbleiterphysik Gmbh | Lithographieverfahren zur Emitterstrukturierung von Bipolartransistoren |
US6231989B1 (en) | 1998-11-20 | 2001-05-15 | Dow Corning Corporation | Method of forming coatings |
US6251973B1 (en) | 1998-11-23 | 2001-06-26 | Akzo Nobel N.V. | Coatings and coating compositions of a reactive group-containing polymer, a hydrazide and a silane |
US5981675A (en) | 1998-12-07 | 1999-11-09 | Bausch & Lomb Incorporated | Silicone-containing macromonomers and low water materials |
US6326231B1 (en) | 1998-12-08 | 2001-12-04 | Advanced Micro Devices, Inc. | Use of silicon oxynitride ARC for metal layers |
US6235456B1 (en) | 1998-12-09 | 2001-05-22 | Advanced Micros Devices, Inc. | Graded anti-reflective barrier films for ultra-fine lithography |
US6506831B2 (en) | 1998-12-20 | 2003-01-14 | Honeywell International Inc. | Novolac polymer planarization films with high temperature stability |
US6342249B1 (en) | 1998-12-23 | 2002-01-29 | Alza Corporation | Controlled release liquid active agent formulation dosage forms |
US6635281B2 (en) | 1998-12-23 | 2003-10-21 | Alza Corporation | Gastric retaining oral liquid dosage form |
US6329017B1 (en) | 1998-12-23 | 2001-12-11 | Battelle Memorial Institute | Mesoporous silica film from a solution containing a surfactant and methods of making same |
US6383466B1 (en) | 1998-12-28 | 2002-05-07 | Battelle Memorial Institute | Method of dehydroxylating a hydroxylated material and method of making a mesoporous film |
KR100363695B1 (ko) | 1998-12-31 | 2003-04-11 | 주식회사 하이닉스반도체 | 유기난반사방지중합체및그의제조방법 |
TW476865B (en) | 1999-01-28 | 2002-02-21 | Tokyo Ohka Kogyo Co Ltd | Undercoating composition for photolithographic resist |
US6544717B2 (en) | 1999-01-28 | 2003-04-08 | Tokyo Ohka Kogyo Co., Ltd. | Undercoating composition for photolithographic resist |
US6187505B1 (en) | 1999-02-02 | 2001-02-13 | International Business Machines Corporation | Radiation sensitive silicon-containing resists |
ATE300558T1 (de) | 1999-02-26 | 2005-08-15 | Showa Denko Kk | Photopolymerisationsinitiator für farbfilter, farbzusammensetzung und farbfilter |
US6316165B1 (en) | 1999-03-08 | 2001-11-13 | Shipley Company, L.L.C. | Planarizing antireflective coating compositions |
US6849923B2 (en) | 1999-03-12 | 2005-02-01 | Kabushiki Kaisha Toshiba | Semiconductor device and manufacturing method of the same |
JP4270632B2 (ja) | 1999-03-12 | 2009-06-03 | 株式会社東芝 | ドライエッチングを用いた半導体装置の製造方法 |
US6426125B1 (en) | 1999-03-17 | 2002-07-30 | General Electric Company | Multilayer article and method of making by ARC plasma deposition |
US6313257B1 (en) | 1999-03-23 | 2001-11-06 | Lord Corporation | Poly (mercaptopropylaryl) curatives |
JP3543669B2 (ja) | 1999-03-31 | 2004-07-14 | 信越化学工業株式会社 | 絶縁膜形成用塗布液及び絶縁膜の形成方法 |
TW421670B (en) * | 1999-04-02 | 2001-02-11 | Ind Tech Res Inst | Fast-cured sol materials |
US6204202B1 (en) | 1999-04-14 | 2001-03-20 | Alliedsignal, Inc. | Low dielectric constant porous films |
US6409883B1 (en) | 1999-04-16 | 2002-06-25 | Kimberly-Clark Worldwide, Inc. | Methods of making fiber bundles and fibrous structures |
DE19917366A1 (de) * | 1999-04-16 | 2000-10-19 | Inst Neue Mat Gemein Gmbh | Mit einer mikrostrukturierten Oberfläche versehene Substrate, Verfahren zu ihrer Herstellung und ihre Verwendung |
FR2792323B1 (fr) | 1999-04-19 | 2001-07-06 | Centre Nat Etd Spatiales | Composition de revetement transparent non mouillable et articles revetus obtenus |
JP3064753U (ja) | 1999-05-18 | 2000-01-21 | 竹沢産業株式会社 | 送風機 |
US6509259B1 (en) | 1999-06-09 | 2003-01-21 | Alliedsignal Inc. | Process of using siloxane dielectric films in the integration of organic dielectric films in electronic devices |
US6824879B2 (en) | 1999-06-10 | 2004-11-30 | Honeywell International Inc. | Spin-on-glass anti-reflective coatings for photolithography |
US6268457B1 (en) | 1999-06-10 | 2001-07-31 | Allied Signal, Inc. | Spin-on glass anti-reflective coatings for photolithography |
EP1190277B1 (en) | 1999-06-10 | 2009-10-07 | AlliedSignal Inc. | Semiconductor having spin-on-glass anti-reflective coatings for photolithography |
US6890448B2 (en) | 1999-06-11 | 2005-05-10 | Shipley Company, L.L.C. | Antireflective hard mask compositions |
US6329118B1 (en) | 1999-06-21 | 2001-12-11 | Intel Corporation | Method for patterning dual damascene interconnects using a sacrificial light absorbing material |
US6696538B2 (en) | 1999-07-27 | 2004-02-24 | Lg Chemical Ltd. | Semiconductor interlayer dielectric material and a semiconductor device using the same |
US6623791B2 (en) | 1999-07-30 | 2003-09-23 | Ppg Industries Ohio, Inc. | Coating compositions having improved adhesion, coated substrates and methods related thereto |
JP4284020B2 (ja) | 1999-07-30 | 2009-06-24 | ピーピージー インダストリーズ オハイオ, インコーポレイテッド | 改良ひっかき抵抗性を有する硬化コーティング、コート基材及びそれに関連する方法 |
US6107167A (en) | 1999-08-02 | 2000-08-22 | Advanced Micro Devices, Inc. | Simplified method of patterning polysilicon gate in a semiconductor device |
US6475892B1 (en) | 1999-08-02 | 2002-11-05 | Aadvanced Micro Devices, Inc. | Simplified method of patterning polysilicon gate in a semiconductor device |
US6335235B1 (en) | 1999-08-17 | 2002-01-01 | Advanced Micro Devices, Inc. | Simplified method of patterning field dielectric regions in a semiconductor device |
AR027842A1 (es) | 1999-08-23 | 2003-04-16 | Kimberly Clark Co | Un articulo absorbente el cual mantiene o mejora la salud de la piel |
AR025300A1 (es) | 1999-08-23 | 2002-11-20 | Kimberly Clark Co | Un articulo absorbente descartable con capacidad para respirar en humedo incrementada. |
US6318124B1 (en) | 1999-08-23 | 2001-11-20 | Alliedsignal Inc. | Nanoporous silica treated with siloxane polymers for ULSI applications |
JP2001079491A (ja) | 1999-09-10 | 2001-03-27 | Koito Mfg Co Ltd | 塗膜形成方法及び該方法で形成された車両用灯具 |
EP1129470B1 (en) | 1999-09-13 | 2005-12-28 | Koninklijke Philips Electronics N.V. | Electric lamp |
JP4248098B2 (ja) | 1999-09-20 | 2009-04-02 | 東京応化工業株式会社 | 反射防止膜形成用組成物及びレジストパターンの形成方法 |
US6410150B1 (en) | 1999-09-29 | 2002-06-25 | Jsr Corporation | Composition for film formation, method of film formation, and insulating film |
US20040089238A1 (en) | 1999-10-04 | 2004-05-13 | Jerome Birnbaum | Vacuum/gas phase reactor for dehydroxylation and alkylation of porous silica |
EP1094506A3 (en) * | 1999-10-18 | 2004-03-03 | Applied Materials, Inc. | Capping layer for extreme low dielectric constant films |
US6315946B1 (en) | 1999-10-21 | 2001-11-13 | The United States Of America As Represented By The Secretary Of The Navy | Ultra low carbon bainitic weathering steel |
US6359096B1 (en) | 1999-10-25 | 2002-03-19 | Dow Corning Corporation | Silicone resin compositions having good solution solubility and stability |
US6541107B1 (en) | 1999-10-25 | 2003-04-01 | Dow Corning Corporation | Nanoporous silicone resins having low dielectric constants |
US6232424B1 (en) | 1999-12-13 | 2001-05-15 | Dow Corning Corporation | Soluble silicone resin compositions having good solution stability |
US6313045B1 (en) | 1999-12-13 | 2001-11-06 | Dow Corning Corporation | Nanoporous silicone resins having low dielectric constants and method for preparation |
US6403464B1 (en) | 1999-11-03 | 2002-06-11 | Taiwan Semiconductor Manufacturing Company | Method to reduce the moisture content in an organic low dielectric constant material |
US6391524B2 (en) | 1999-11-19 | 2002-05-21 | Kodak Polychrome Graphics Llc | Article having imagable coatings |
US6592980B1 (en) | 1999-12-07 | 2003-07-15 | Air Products And Chemicals, Inc. | Mesoporous films having reduced dielectric constants |
US6365266B1 (en) | 1999-12-07 | 2002-04-02 | Air Products And Chemicals, Inc. | Mesoporous films having reduced dielectric constants |
EP1167313B1 (en) | 1999-12-13 | 2015-09-23 | Nippon Sheet Glass Co., Ltd. | Low-reflection glass article |
TW468053B (en) | 1999-12-14 | 2001-12-11 | Nissan Chemical Ind Ltd | Antireflection film, process for forming the antireflection film, and antireflection glass |
US20030104225A1 (en) | 2000-02-01 | 2003-06-05 | Jsr Corporation | Process for producing silica-based film, silica-based film, insulating film, and semiconductor device |
JP4195773B2 (ja) | 2000-04-10 | 2008-12-10 | Jsr株式会社 | 層間絶縁膜形成用組成物、層間絶縁膜の形成方法およびシリカ系層間絶縁膜 |
US20030157340A1 (en) | 2000-02-01 | 2003-08-21 | Jsr Corporation | Process for producing silica-based film, silica-based film, insulating film, and semiconductor device |
US6902771B2 (en) | 2000-02-01 | 2005-06-07 | Jsr Corporation | Process for producing silica-based film, silica-based film, insulating film, and semiconductor device |
US6306736B1 (en) | 2000-02-04 | 2001-10-23 | The Regents Of The University Of California | Process for forming shaped group III-V semiconductor nanocrystals, and product formed using process |
CA2373609A1 (en) | 2000-02-08 | 2001-08-16 | Adsil, Lc | Method for improving heat efficiency using silane coatings and coated articles produced thereby |
AU2001238216A1 (en) | 2000-02-14 | 2001-08-27 | The Procter And Gamble Company | Stable, aqueous compositions for treating surfaces, especially fabrics |
KR100610406B1 (ko) | 2000-02-22 | 2006-08-09 | 브레우어 사이언스 인코포레이션 | 화학적 증착에 의해 증착된 유기 중합체 반사 방지 코팅 |
JP2001240800A (ja) | 2000-02-25 | 2001-09-04 | Nippon Sheet Glass Co Ltd | 所定表面形状を有する物品の製造方法 |
JP2003531924A (ja) | 2000-02-28 | 2003-10-28 | アドシル・エルシー | シランが基になったコーティング組成物、これから得た被覆製品およびこれの使用方法 |
DE60138327D1 (de) | 2000-02-28 | 2009-05-28 | Jsr Corp | Zusammensetzung zur Filmerzeugung, Verfahren zur Filmerzeugung und Filme auf Basis von Siliciumoxid |
JP2001242803A (ja) | 2000-02-29 | 2001-09-07 | Sony Corp | 表示装置及びその製造方法 |
US6451420B1 (en) | 2000-03-17 | 2002-09-17 | Nanofilm, Ltd. | Organic-inorganic hybrid polymer and method of making same |
JP3604007B2 (ja) | 2000-03-29 | 2004-12-22 | 富士通株式会社 | 低誘電率被膜形成材料、及びそれを用いた被膜と半導体装置の製造方法 |
JP2003529654A (ja) | 2000-03-30 | 2003-10-07 | ゼネラル・エレクトリック・カンパニイ | 透明な難燃性ポリ(アリーレンエーテル)ブレンド |
JP3795333B2 (ja) | 2000-03-30 | 2006-07-12 | 東京応化工業株式会社 | 反射防止膜形成用組成物 |
US6268294B1 (en) | 2000-04-04 | 2001-07-31 | Taiwan Semiconductor Manufacturing Company | Method of protecting a low-K dielectric material |
US6593388B2 (en) | 2000-04-04 | 2003-07-15 | Renssealer Polytechnic Institute | Oligomeric and polymeric photosensitizers comprising a polynuclear aromatic group |
US7265062B2 (en) | 2000-04-04 | 2007-09-04 | Applied Materials, Inc. | Ionic additives for extreme low dielectric constant chemical formulations |
US6576568B2 (en) | 2000-04-04 | 2003-06-10 | Applied Materials, Inc. | Ionic additives for extreme low dielectric constant chemical formulations |
US7128976B2 (en) | 2000-04-10 | 2006-10-31 | Jsr Corporation | Composition for film formation, method of film formation, and silica-based film |
ATE321105T1 (de) | 2000-04-13 | 2006-04-15 | Jsr Corp | Überzugsmittel, verfahren zur herstellung, gehärtetes produkt und beschichtungsfilm |
US6504525B1 (en) | 2000-05-03 | 2003-01-07 | Xerox Corporation | Rotating element sheet material with microstructured substrate and method of use |
US6374738B1 (en) | 2000-05-03 | 2002-04-23 | Presstek, Inc. | Lithographic imaging with non-ablative wet printing members |
US6495479B1 (en) * | 2000-05-05 | 2002-12-17 | Honeywell International, Inc. | Simplified method to produce nanoporous silicon-based films |
JP3846545B2 (ja) | 2000-06-08 | 2006-11-15 | 信越化学工業株式会社 | コーティング剤組成物、コーティング方法及び被覆物品 |
US6632535B1 (en) | 2000-06-08 | 2003-10-14 | Q2100, Inc. | Method of forming antireflective coatings |
US6852766B1 (en) | 2000-06-15 | 2005-02-08 | 3M Innovative Properties Company | Multiphoton photosensitization system |
US6420088B1 (en) | 2000-06-23 | 2002-07-16 | International Business Machines Corporation | Antireflective silicon-containing compositions as hardmask layer |
US6891237B1 (en) | 2000-06-27 | 2005-05-10 | Lucent Technologies Inc. | Organic semiconductor device having an active dielectric layer comprising silsesquioxanes |
US6323268B1 (en) | 2000-06-27 | 2001-11-27 | Dow Corning Corporation | Organosilicon water repellent compositions |
JP2002023350A (ja) | 2000-07-07 | 2002-01-23 | Fuji Photo Film Co Ltd | ネガ型平版印刷版原版 |
US6271273B1 (en) | 2000-07-14 | 2001-08-07 | Shipley Company, L.L.C. | Porous materials |
US6368400B1 (en) | 2000-07-17 | 2002-04-09 | Honeywell International | Absorbing compounds for spin-on-glass anti-reflective coatings for photolithography |
JP2002043423A (ja) | 2000-07-24 | 2002-02-08 | Tokyo Ohka Kogyo Co Ltd | 被膜の処理方法およびこの方法を用いた半導体素子の製造方法 |
US6838178B1 (en) * | 2000-07-26 | 2005-01-04 | Libbey-Owens-Ford Co. | Glass article with anti-reflective coating |
TW556047B (en) | 2000-07-31 | 2003-10-01 | Shipley Co Llc | Coated substrate, method for forming photoresist relief image, and antireflective composition |
US6635341B1 (en) | 2000-07-31 | 2003-10-21 | Ppg Industries Ohio, Inc. | Coating compositions comprising silyl blocked components, coating, coated substrates and methods related thereto |
ATE327997T1 (de) | 2000-08-03 | 2006-06-15 | Ciba Sc Holding Ag | Photostabile, silylierte benzotriazol uv-absorber und zusammensetzungen, die mit diesen stabilisiert werden |
AU2001288306A1 (en) | 2000-08-18 | 2002-03-04 | The Procter And Gamble Company | Compositions and methods for odor and fungal control in ballistic fabric and other protective garments |
US6645685B2 (en) | 2000-09-06 | 2003-11-11 | Mitsubishi Paper Mills Limited | Process for producing printed wiring board |
KR100841597B1 (ko) | 2000-09-13 | 2008-06-26 | 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨 | 전자 디바이스 제조 |
JP3993373B2 (ja) | 2000-09-14 | 2007-10-17 | 信越化学工業株式会社 | ポリオルガノシロキサン化合物及びそれを含有するコーティング組成物 |
KR100382702B1 (ko) | 2000-09-18 | 2003-05-09 | 주식회사 엘지화학 | 유기실리케이트 중합체의 제조방법 |
US6505362B1 (en) | 2000-09-25 | 2003-01-14 | Thomas Scipio | Method and system for cushioning a mobile prone person |
US6465358B1 (en) | 2000-10-06 | 2002-10-15 | Intel Corporation | Post etch clean sequence for making a semiconductor device |
KR100382955B1 (ko) | 2000-10-10 | 2003-05-09 | 엘지.필립스 엘시디 주식회사 | 액정표시장치용 어레이기판과 그 제조방법 |
US6884568B2 (en) | 2000-10-17 | 2005-04-26 | Kodak Polychrome Graphics, Llc | Stabilized infrared-sensitive polymerizable systems |
US6864040B2 (en) | 2001-04-11 | 2005-03-08 | Kodak Polychrome Graphics Llc | Thermal initiator system using leuco dyes and polyhalogene compounds |
DE10051724A1 (de) | 2000-10-18 | 2002-05-02 | Flabeg Gmbh & Co Kg | Thermisch vorgespanntes Glas mit einer abriebfesten, porösen SiO¶2¶-Antireflexschicht |
US6756520B1 (en) | 2000-10-20 | 2004-06-29 | Kimberly-Clark Worldwide, Inc. | Hydrophilic compositions for use on absorbent articles to enhance skin barrier |
US6503526B1 (en) | 2000-10-20 | 2003-01-07 | Kimberly-Clark Worldwide, Inc. | Absorbent articles enhancing skin barrier function |
JP2002129103A (ja) | 2000-10-23 | 2002-05-09 | Jsr Corp | 膜形成用組成物および絶縁膜形成用材料 |
US6455416B1 (en) | 2000-10-24 | 2002-09-24 | Advanced Micro Devices, Inc. | Developer soluble dyed BARC for dual damascene process |
JP4632522B2 (ja) | 2000-11-30 | 2011-02-16 | Nec液晶テクノロジー株式会社 | 反射型液晶表示装置の製造方法 |
US6699647B2 (en) | 2000-12-21 | 2004-03-02 | Eastman Kodak Company | High speed photothermographic materials containing tellurium compounds and methods of using same |
US6749860B2 (en) | 2000-12-22 | 2004-06-15 | Kimberly-Clark Worldwide, Inc. | Absorbent articles with non-aqueous compositions containing botanicals |
US20020128615A1 (en) | 2000-12-22 | 2002-09-12 | Tyrrell David John | Absorbent articles with non-aqueous compositions containing anionic polymers |
US6832064B2 (en) | 2000-12-29 | 2004-12-14 | Samsung Electronics Co., Ltd. | Seamless drying belt for electrophotographic process |
NZ526871A (en) | 2001-01-25 | 2006-01-27 | Bristol Myers Squibb Co | Pharmaceutical dosage forms of epothilones for oral administration |
DE10103421A1 (de) | 2001-01-26 | 2002-08-14 | Ge Bayer Silicones Gmbh & Co | Polyorganosiloxan-Zusammensetzung |
US7026053B2 (en) | 2001-01-29 | 2006-04-11 | Jsr Corporation | Process for producing silica-based film, silica-based film, insulating film, and semiconductor device |
US6465889B1 (en) | 2001-02-07 | 2002-10-15 | Advanced Micro Devices, Inc. | Silicon carbide barc in dual damascene processing |
JP2002235037A (ja) | 2001-02-13 | 2002-08-23 | Jsr Corp | 膜形成用組成物の製造方法、膜形成用組成物、膜の形成方法およびシリカ系膜 |
US6893245B2 (en) | 2001-02-20 | 2005-05-17 | Q2100, Inc. | Apparatus for preparing an eyeglass lens having a computer system controller |
US6840752B2 (en) | 2001-02-20 | 2005-01-11 | Q2100, Inc. | Apparatus for preparing multiple eyeglass lenses |
US7052262B2 (en) | 2001-02-20 | 2006-05-30 | Q2100, Inc. | System for preparing eyeglasses lens with filling station |
US6875005B2 (en) | 2001-02-20 | 2005-04-05 | Q1200, Inc. | Apparatus for preparing an eyeglass lens having a gating device |
US6655946B2 (en) | 2001-02-20 | 2003-12-02 | Q2100, Inc. | Apparatus for preparing an eyeglass lens having a controller for conveyor and curing units |
US6758663B2 (en) | 2001-02-20 | 2004-07-06 | Q2100, Inc. | System for preparing eyeglass lenses with a high volume curing unit |
US7139636B2 (en) | 2001-02-20 | 2006-11-21 | Q2100, Inc. | System for preparing eyeglass lenses with bar code reader |
US6712331B2 (en) | 2001-02-20 | 2004-03-30 | Q2100, Inc. | Holder for mold assemblies with indicia |
US7011773B2 (en) | 2001-02-20 | 2006-03-14 | Q2100, Inc. | Graphical interface to display mold assembly position in a lens forming apparatus |
US6726463B2 (en) | 2001-02-20 | 2004-04-27 | Q2100, Inc. | Apparatus for preparing an eyeglass lens having a dual computer system controller |
US6709257B2 (en) | 2001-02-20 | 2004-03-23 | Q2100, Inc. | Eyeglass lens forming apparatus with sensor |
US6676398B2 (en) | 2001-02-20 | 2004-01-13 | Q2100, Inc. | Apparatus for preparing an eyeglass lens having a prescription reader |
US6808381B2 (en) | 2001-02-20 | 2004-10-26 | Q2100, Inc. | Apparatus for preparing an eyeglass lens having a controller |
US6790024B2 (en) | 2001-02-20 | 2004-09-14 | Q2100, Inc. | Apparatus for preparing an eyeglass lens having multiple conveyor systems |
US6612828B2 (en) | 2001-02-20 | 2003-09-02 | Q2100, Inc. | Fill system with controller for monitoring use |
US6752613B2 (en) | 2001-02-20 | 2004-06-22 | Q2100, Inc. | Apparatus for preparing an eyeglass lens having a controller for initiation of lens curing |
US6702564B2 (en) | 2001-02-20 | 2004-03-09 | Q2100, Inc. | System for preparing an eyeglass lens using colored mold holders |
US6717181B2 (en) | 2001-02-22 | 2004-04-06 | Semiconductor Energy Laboratory Co., Ltd. | Luminescent device having thin film transistor |
US6703462B2 (en) | 2001-08-09 | 2004-03-09 | Dielectric Systems Inc. | Stabilized polymer film and its manufacture |
US6825303B2 (en) | 2001-02-26 | 2004-11-30 | Dielectric Systems, Inc. | Integration of low ε thin films and Ta into Cu dual damascene |
US20030198578A1 (en) | 2002-04-18 | 2003-10-23 | Dielectric Systems, Inc. | Multi-stage-heating thermal reactor for transport polymerization |
US6797343B2 (en) | 2001-12-20 | 2004-09-28 | Dielectric Systems, Inc. | Dielectric thin films from fluorinated precursors |
KR100496420B1 (ko) | 2001-03-02 | 2005-06-17 | 삼성에스디아이 주식회사 | 2층구조의 소오스/드레인 전극을 갖는 박막 트랜지스터 및그의 제조방법과 이를 이용한 액티브 매트릭스형 표시소자및 그의 제조방법 |
US20020123592A1 (en) | 2001-03-02 | 2002-09-05 | Zenastra Photonics Inc. | Organic-inorganic hybrids surface adhesion promoter |
US6582861B2 (en) | 2001-03-16 | 2003-06-24 | Applied Materials, Inc. | Method of reshaping a patterned organic photoresist surface |
JP4545973B2 (ja) | 2001-03-23 | 2010-09-15 | 富士通株式会社 | シリコン系組成物、低誘電率膜、半導体装置および低誘電率膜の製造方法 |
JP2002285086A (ja) | 2001-03-26 | 2002-10-03 | Jsr Corp | 膜形成用組成物、膜の形成方法およびシリカ系膜 |
JP3908552B2 (ja) | 2001-03-29 | 2007-04-25 | Nec液晶テクノロジー株式会社 | 液晶表示装置及びその製造方法 |
US6617257B2 (en) | 2001-03-30 | 2003-09-09 | Lam Research Corporation | Method of plasma etching organic antireflective coating |
US6846614B2 (en) | 2002-02-04 | 2005-01-25 | Kodak Polychrome Graphics Llc | On-press developable IR sensitive printing plates |
US20040091811A1 (en) | 2002-10-30 | 2004-05-13 | Munnelly Heidi M. | Hetero-substituted aryl acetic acid co-initiators for IR-sensitive compositions |
US6893797B2 (en) | 2001-11-09 | 2005-05-17 | Kodak Polychrome Graphics Llc | High speed negative-working thermal printing plates |
US6599995B2 (en) | 2001-05-01 | 2003-07-29 | Korea Institute Of Science And Technology | Polyalkylaromaticsilsesquioxane and preparation method thereof |
TW576859B (en) | 2001-05-11 | 2004-02-21 | Shipley Co Llc | Antireflective coating compositions |
KR100744955B1 (ko) | 2001-05-21 | 2007-08-02 | 엘지.필립스 엘시디 주식회사 | 횡전계방식 액정표시장치용 어레이기판과 그 제조방법 |
US6740685B2 (en) | 2001-05-30 | 2004-05-25 | Honeywell International Inc. | Organic compositions |
JP4146105B2 (ja) | 2001-05-30 | 2008-09-03 | 富士フイルム株式会社 | 紫外線吸収剤及びその製造方法、紫外線吸収剤を含有する組成物、ならびに画像形成方法 |
US6448185B1 (en) | 2001-06-01 | 2002-09-10 | Intel Corporation | Method for making a semiconductor device that has a dual damascene interconnect |
US7177261B2 (en) | 2001-06-05 | 2007-02-13 | Flexplay Technologies, Inc. | Limited play optical devices with interstitial reactive layer and methods of making same |
US6558880B1 (en) | 2001-06-06 | 2003-05-06 | Eastman Kodak Company | Thermally developable imaging materials containing heat-bleachable antihalation composition |
JP4181312B2 (ja) | 2001-06-25 | 2008-11-12 | 富士フイルム株式会社 | ネガ型画像記録材料 |
US6890855B2 (en) | 2001-06-27 | 2005-05-10 | International Business Machines Corporation | Process of removing residue material from a precision surface |
JP4965033B2 (ja) | 2001-06-29 | 2012-07-04 | 東レ・ダウコーニング株式会社 | 液状アルコキシシリル官能性シリコーン樹脂、その製造方法および硬化性シリコーン樹脂組成物 |
US6908722B2 (en) | 2001-06-29 | 2005-06-21 | Jsr Corporation | Acid generator, sulfonic acid, sulfonic acid derivatives and radiation-sensitive resin composition |
DE10135640A1 (de) | 2001-07-21 | 2003-02-06 | Covion Organic Semiconductors | Lösungen organischer Halbleiter |
US6703169B2 (en) | 2001-07-23 | 2004-03-09 | Applied Materials, Inc. | Method of preparing optically imaged high performance photomasks |
US6596404B1 (en) | 2001-07-26 | 2003-07-22 | Dow Corning Corporation | Siloxane resins |
US6649212B2 (en) | 2001-07-30 | 2003-11-18 | Guardian Industries Corporation | Modified silicon-based UV absorbers useful in crosslinkable polysiloxane coatings via sol-gel polymerization |
US6592999B1 (en) | 2001-07-31 | 2003-07-15 | Ppg Industries Ohio, Inc. | Multi-layer composites formed from compositions having improved adhesion, coating compositions, and methods related thereto |
JP2003050459A (ja) | 2001-08-07 | 2003-02-21 | Hitachi Chem Co Ltd | 感光性樹脂組成物、感光性エレメント、レジストパターンの製造法およびプリント配線板の製造法 |
JP2003064307A (ja) | 2001-08-28 | 2003-03-05 | Hitachi Chem Co Ltd | シリカ系被膜、シリカ系被膜形成用組成物、シリカ系被膜の製造方法及び電子部品 |
JP4972834B2 (ja) | 2001-08-28 | 2012-07-11 | 日立化成工業株式会社 | シロキサン樹脂 |
KR100436220B1 (ko) | 2001-08-30 | 2004-06-12 | 주식회사 네패스 | 바닥 반사방지막용 유기 중합체, 그의 제조방법 및 그를함유하는 조성물 |
US6514677B1 (en) | 2001-08-31 | 2003-02-04 | Eastman Kodak Company | Thermally developable infrared sensitive imaging materials containing heat-bleachable antihalation composition |
US6824952B1 (en) | 2001-09-13 | 2004-11-30 | Microchem Corp. | Deep-UV anti-reflective resist compositions |
DE10146687C1 (de) | 2001-09-21 | 2003-06-26 | Flabeg Solarglas Gmbh & Co Kg | Glas mit einer porösen Antireflex-Oberflächenbeschichtung sowie Verfahren zur Herstellung des Glases und Verwendung eines derartigen Glases |
DE60237746D1 (de) | 2001-09-25 | 2010-11-04 | Jsr Corp | Filmherstellungsmethode |
TW591341B (en) | 2001-09-26 | 2004-06-11 | Shipley Co Llc | Coating compositions for use with an overcoated photoresist |
US6730461B2 (en) | 2001-10-26 | 2004-05-04 | Eastman Kodak Company | Thermally developable imaging materials with reduced mottle providing improved image uniformity |
US6949297B2 (en) | 2001-11-02 | 2005-09-27 | 3M Innovative Properties Company | Hybrid adhesives, articles, and methods |
US6617609B2 (en) | 2001-11-05 | 2003-09-09 | 3M Innovative Properties Company | Organic thin film transistor with siloxane polymer interface |
JP4381636B2 (ja) | 2001-11-05 | 2009-12-09 | 新日鐵化学株式会社 | シリコーン樹脂組成物及びシリコーン樹脂成形体 |
KR20040075866A (ko) | 2001-11-15 | 2004-08-30 | 허니웰 인터내셔날 인코포레이티드 | 포토리소그래피용 스핀-온 무반사 코팅 |
WO2003044077A1 (en) | 2001-11-16 | 2003-05-30 | Honeywell International Inc. | Spin-on-glass anti-reflective coatings for photolithography |
US6589658B1 (en) * | 2001-11-29 | 2003-07-08 | Guardian Industries Corp. | Coated article with anti-reflective layer(s) system |
US6573175B1 (en) | 2001-11-30 | 2003-06-03 | Micron Technology, Inc. | Dry low k film application for interlevel dielectric and method of cleaning etched features |
US6586102B1 (en) * | 2001-11-30 | 2003-07-01 | Guardian Industries Corp. | Coated article with anti-reflective layer(s) system |
AU2002354487A1 (en) * | 2001-12-14 | 2003-06-30 | Asahi Kasei Kabushiki Kaisha | Coating composition for forming low-refractive index thin layers |
KR100635042B1 (ko) | 2001-12-14 | 2006-10-17 | 삼성에스디아이 주식회사 | 전면전극을 구비한 평판표시장치 및 그의 제조방법 |
JP2003183575A (ja) | 2001-12-20 | 2003-07-03 | Mitsui Chemicals Inc | 保存安定性に優れる多孔質シリカフィルム形成用塗布液、該塗布液の製造方法、並びに、均一なメソ孔が規則的に配列された多孔質シリカフィルムの製造方法、該多孔質シリカフィルムおよびその用途 |
KR100652046B1 (ko) | 2001-12-22 | 2006-11-30 | 엘지.필립스 엘시디 주식회사 | 액정표시소자 및 그 제조방법 |
US20030171729A1 (en) | 2001-12-28 | 2003-09-11 | Kaun James Martin | Multifunctional containment sheet and system for absorbent atricles |
US6924384B2 (en) | 2002-01-08 | 2005-08-02 | Silecs Oy | Methods and compounds for making coatings, waveguides and other optical devices |
US20030176718A1 (en) | 2002-01-08 | 2003-09-18 | Rantala Juha T. | Methods and compounds for making coatings, waveguides and other optical devices |
US6803476B2 (en) | 2002-01-08 | 2004-10-12 | Silecs Oy | Methods and compounds for making coatings, waveguides and other optical devices |
US6831189B2 (en) | 2002-01-08 | 2004-12-14 | Silecs Oy | Methods and compounds for making coatings, waveguides and other optical devices |
US20030171607A1 (en) | 2002-01-08 | 2003-09-11 | Rantala Juha T. | Methods and compounds for making coatings, waveguides and other optical devices |
US6844131B2 (en) | 2002-01-09 | 2005-01-18 | Clariant Finance (Bvi) Limited | Positive-working photoimageable bottom antireflective coating |
US7144827B2 (en) | 2002-01-17 | 2006-12-05 | Silecs Oy | Poly(organosiloxane) materials and methods for hybrid organic-inorganic dielectrics for integrated circuit applications |
US20040002617A1 (en) | 2002-01-17 | 2004-01-01 | Rantala Juha T. | Integrated circuits having organic-inorganic dielectric materials and methods for forming such integrated circuits |
JP4495464B2 (ja) | 2002-01-17 | 2010-07-07 | シレクス オサケユキチュア | 集積回路の製造方法 |
US20050032357A1 (en) | 2002-01-17 | 2005-02-10 | Rantala Juha T. | Dielectric materials and methods for integrated circuit applications |
JP4709488B2 (ja) | 2002-01-18 | 2011-06-22 | メルク・シャープ・エンド・ドーム・コーポレイション | Edg受容体作動薬としてのN−(ベンジル)アミノアルキルカルボン酸化合物、ホスフィン酸化合物、ホスホン酸化合物およびテトラゾール類 |
JP2003211070A (ja) | 2002-01-21 | 2003-07-29 | Toshiba Corp | 塗膜の形成方法、半導体装置の製造方法および塗布液 |
WO2003067682A2 (en) | 2002-02-05 | 2003-08-14 | Gencell Corporation | Silane coated metallic fuel cell components and methods of manufacture |
US20040077757A1 (en) | 2002-02-06 | 2004-04-22 | Toru Araki | Coating composition for use in producing an insulating thin film |
JP4110797B2 (ja) | 2002-02-27 | 2008-07-02 | 日立化成工業株式会社 | シリカ系被膜形成用組成物、シリカ系被膜の製造方法及び電子部品 |
US7687590B2 (en) | 2002-02-27 | 2010-03-30 | Hitachi Chemical Company, Ltd. | Composition for forming silica based coating film, silica based coating film and method for preparation thereof, and electronic parts |
US7682701B2 (en) | 2002-02-27 | 2010-03-23 | Hitachi Chemical Co., Ltd. | Composition for forming silica based coating film, silica based coating film and method for preparation thereof, and electronic parts |
KR100819226B1 (ko) | 2002-02-27 | 2008-04-02 | 히다치 가세고교 가부시끼가이샤 | 실리카계 피막형성용 조성물, 실리카계 피막 및 그제조방법 및 전자부품 |
JP3966026B2 (ja) | 2002-03-06 | 2007-08-29 | 日立化成工業株式会社 | シリカ系被膜形成用組成物、シリカ系被膜及びその製造方法、並びに電子部品 |
DE10213294B4 (de) | 2002-03-25 | 2015-05-13 | Osram Gmbh | Verwendung eines UV-beständigen Polymers in der Optoelektronik sowie im Außenanwendungsbereich, UV-beständiges Polymer sowie optisches Bauelement |
US6906157B2 (en) | 2002-04-09 | 2005-06-14 | Eastman Kodak Company | Polymer particle stabilized by dispersant and method of preparation |
WO2003088344A1 (en) | 2002-04-10 | 2003-10-23 | Honeywell International, Inc. | Low metal porous silica dielectric for integral circuit applications |
US6984476B2 (en) | 2002-04-15 | 2006-01-10 | Sharp Kabushiki Kaisha | Radiation-sensitive resin composition, forming process for forming patterned insulation film, active matrix board and flat-panel display device equipped with the same, and process for producing flat-panel display device |
US6730454B2 (en) | 2002-04-16 | 2004-05-04 | International Business Machines Corporation | Antireflective SiO-containing compositions for hardmask layer |
EP1495066B1 (en) | 2002-04-18 | 2008-07-16 | LG Chem, Ltd. | Organic silicate polymer and insulation film comprising the same |
US6787281B2 (en) | 2002-05-24 | 2004-09-07 | Kodak Polychrome Graphics Llc | Selected acid generating agents and their use in processes for imaging radiation-sensitive elements |
US7307343B2 (en) * | 2002-05-30 | 2007-12-11 | Air Products And Chemicals, Inc. | Low dielectric materials and methods for making same |
US7122880B2 (en) | 2002-05-30 | 2006-10-17 | Air Products And Chemicals, Inc. | Compositions for preparing low dielectric materials |
DE60228763D1 (de) | 2002-06-04 | 2008-10-16 | Fujitsu Ltd | Antibakterielle und gegen flecken gerichtete farbe für baustoff und damit beschichteter baustoff |
US7897979B2 (en) | 2002-06-07 | 2011-03-01 | Semiconductor Energy Laboratory Co., Ltd. | Light emitting device and manufacturing method thereof |
KR100515583B1 (ko) | 2002-06-27 | 2005-09-20 | 주식회사 엘지화학 | 유기실리케이트 중합체 및 이를 함유하는 절연막 |
JP3631236B2 (ja) | 2002-07-12 | 2005-03-23 | 東京応化工業株式会社 | シリカ系有機被膜の製造方法 |
CN1248556C (zh) | 2002-08-05 | 2006-03-29 | 佳能株式会社 | 电极和布线材料吸收用底层图形形成材料及其应用 |
US6896821B2 (en) | 2002-08-23 | 2005-05-24 | Dalsa Semiconductor Inc. | Fabrication of MEMS devices with spin-on glass |
DE10242418A1 (de) | 2002-09-12 | 2004-03-25 | Wacker-Chemie Gmbh | Verfahren zur Herstellung von Organopolysiloxanharz |
KR100489590B1 (ko) | 2002-09-19 | 2005-05-16 | 엘지.필립스 엘시디 주식회사 | 투과형 유기전계발광 소자 및 그의 제조방법 |
JP4032234B2 (ja) | 2002-09-30 | 2008-01-16 | 信越化学工業株式会社 | ケイ素含有重合性化合物、その製造方法、高分子化合物、レジスト材料及びパターン形成方法 |
US20040067437A1 (en) | 2002-10-06 | 2004-04-08 | Shipley Company, L.L.C. | Coating compositions for use with an overcoated photoresist |
US7005390B2 (en) | 2002-10-09 | 2006-02-28 | Intel Corporation | Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials |
KR100860523B1 (ko) | 2002-10-11 | 2008-09-26 | 엘지디스플레이 주식회사 | 횡전계방식 액정 표시 소자 및 그 제조방법 |
US7038328B2 (en) | 2002-10-15 | 2006-05-02 | Brewer Science Inc. | Anti-reflective compositions comprising triazine compounds |
US6783468B2 (en) | 2002-10-24 | 2004-08-31 | Acushnet Company | Low deformation golf ball |
US7122384B2 (en) | 2002-11-06 | 2006-10-17 | E. I. Du Pont De Nemours And Company | Resonant light scattering microparticle methods |
JP2004161875A (ja) | 2002-11-13 | 2004-06-10 | Shin Etsu Chem Co Ltd | 多孔質膜形成用組成物、多孔質膜とその製造方法、層間絶縁間膜及び半導体装置 |
US7465414B2 (en) | 2002-11-14 | 2008-12-16 | Transitions Optical, Inc. | Photochromic article |
EP1422566A1 (en) | 2002-11-20 | 2004-05-26 | Shipley Company, L.L.C. | Multilayer photoresist systems |
TWI230292B (en) | 2002-12-09 | 2005-04-01 | Lg Philips Lcd Co Ltd | Array substrate having color filter on thin film transistor structure for LCD device and method of fabricating the same |
US7133088B2 (en) | 2002-12-23 | 2006-11-07 | Lg.Philips Lcd Co., Ltd. | Liquid crystal display device and method of fabricating the same |
KR100887671B1 (ko) | 2002-12-23 | 2009-03-11 | 엘지디스플레이 주식회사 | 액정표시장치용 어레이기판과 그 제조방법 |
KR20040061292A (ko) | 2002-12-30 | 2004-07-07 | 엘지.필립스 엘시디 주식회사 | 액정표시소자 제조방법 |
US7018779B2 (en) | 2003-01-07 | 2006-03-28 | International Business Machines Corporation | Apparatus and method to improve resist line roughness in semiconductor wafer processing |
JP4999454B2 (ja) | 2003-01-25 | 2012-08-15 | ハネウェル・インターナショナル・インコーポレーテッド | 損傷誘電体材料及び膜の修復及び回復 |
US20040166434A1 (en) | 2003-02-21 | 2004-08-26 | Dammel Ralph R. | Photoresist composition for deep ultraviolet lithography |
US7507783B2 (en) | 2003-02-24 | 2009-03-24 | Brewer Science Inc. | Thermally curable middle layer comprising polyhedral oligomeric silsesouioxanes for 193-nm trilayer resist process |
US6902861B2 (en) | 2003-03-10 | 2005-06-07 | Kodak Polychrome Graphics, Llc | Infrared absorbing compounds and their use in photoimageable elements |
JP2004269693A (ja) * | 2003-03-10 | 2004-09-30 | Shin Etsu Chem Co Ltd | 多孔質膜形成用組成物及びその製造方法、多孔質膜の製造方法、多孔質膜、層間絶縁膜、及び半導体装置 |
JP4463200B2 (ja) | 2003-03-12 | 2010-05-12 | 株式会社ミツカングループ本社 | 酢酸菌のアルコール脱水素酵素遺伝子 |
JP3674041B2 (ja) | 2003-03-13 | 2005-07-20 | 日立化成工業株式会社 | シリカ系被膜形成用組成物、シリカ系被膜及びその形成方法、並びにシリカ系被膜を備える電子部品 |
JP2004307694A (ja) | 2003-04-09 | 2004-11-04 | Shin Etsu Chem Co Ltd | 多孔質膜形成用組成物、多孔質膜の製造方法、多孔質膜、層間絶縁膜及び半導体装置。 |
KR100645682B1 (ko) | 2003-04-17 | 2006-11-13 | 주식회사 엘지화학 | 유기실록산 수지 및 이를 이용한 절연막 |
US7060637B2 (en) | 2003-05-12 | 2006-06-13 | Micron Technology, Inc. | Methods of forming intermediate semiconductor device structures using spin-on, photopatternable, interlayer dielectric materials |
KR100519948B1 (ko) | 2003-05-20 | 2005-10-10 | 엘지.필립스 엘시디 주식회사 | 비정질 실리콘의 결정화 공정 및 이를 이용한 스위칭 소자 |
ES2321390T3 (es) | 2003-05-20 | 2009-06-05 | Dsm Ip Assets B.V. | Proceso de revestimiento de superficie nano-estructurada, revestimientos nano-estructurados y articulos que comprenden el revestimiento. |
KR100882409B1 (ko) | 2003-06-03 | 2009-02-05 | 신에쓰 가가꾸 고교 가부시끼가이샤 | 반사 방지용 실리콘 수지, 반사 방지막 재료, 이것을 이용한 반사 방지막 및 패턴 형성 방법 |
US7202013B2 (en) | 2003-06-03 | 2007-04-10 | Shin-Etsu Chemical Co., Ltd. | Antireflective film material, and antireflective film and pattern formation method using the same |
US7008476B2 (en) | 2003-06-11 | 2006-03-07 | Az Electronic Materials Usa Corp. | Modified alginic acid of alginic acid derivatives and thermosetting anti-reflective compositions thereof |
US6899988B2 (en) | 2003-06-13 | 2005-05-31 | Kodak Polychrome Graphics Llc | Laser thermal metallic donors |
KR100507967B1 (ko) | 2003-07-01 | 2005-08-10 | 삼성전자주식회사 | 실록산계 수지 및 이를 이용한 반도체 층간 절연막 |
KR100504291B1 (ko) | 2003-07-14 | 2005-07-27 | 삼성전자주식회사 | 게르마늄을 포함하는 실록산계 수지 및 이를 이용한반도체 층간 절연막 형성 방법 |
US7109519B2 (en) | 2003-07-15 | 2006-09-19 | 3M Innovative Properties Company | Bis(2-acenyl)acetylene semiconductors |
JP2005049542A (ja) | 2003-07-31 | 2005-02-24 | Fuji Photo Film Co Ltd | 画像形成方法及び現像液 |
WO2005017058A1 (en) | 2003-08-01 | 2005-02-24 | Dow Corning Corporation | Silicone based dielectric coatings and films for photovoltaic applications |
US6942083B2 (en) | 2003-08-07 | 2005-09-13 | Ford Global Technologies, Llc | Viscous fan clutch actuated by a heating element and ambient air |
US7172849B2 (en) | 2003-08-22 | 2007-02-06 | International Business Machines Corporation | Antireflective hardmask and uses thereof |
JP2005099693A (ja) | 2003-09-05 | 2005-04-14 | Hitachi Chem Co Ltd | 反射防止膜形成用組成物及びそれを用いた反射防止膜の製造方法、光学部品、太陽電池ユニット |
US7622399B2 (en) | 2003-09-23 | 2009-11-24 | Silecs Oy | Method of forming low-k dielectrics using a rapid curing process |
US7270931B2 (en) | 2003-10-06 | 2007-09-18 | International Business Machines Corporation | Silicon-containing compositions for spin-on ARC/hardmask materials |
US20050074981A1 (en) | 2003-10-06 | 2005-04-07 | Meagley Robert P. | Increasing the etch resistance of photoresists |
JP5348843B2 (ja) | 2003-10-07 | 2013-11-20 | ハネウエル・インターナシヨナル・インコーポレーテツド | 集積回路用途の被覆およびハードマスク組成物、これらの製造方法および使用 |
WO2005036270A1 (ja) | 2003-10-07 | 2005-04-21 | Hitachi Chemical Co., Ltd. | 放射線硬化性組成物、その保存方法、硬化膜形成方法、パターン形成方法、パターン使用方法、電子部品及び光導波路 |
KR100979355B1 (ko) | 2003-10-09 | 2010-08-31 | 삼성전자주식회사 | 다반응성 환형 실리케이트 화합물, 상기 화합물로부터제조된 실록산계 중합체 및 상기 중합체를 이용한 절연막제조방법 |
KR20050040275A (ko) | 2003-10-28 | 2005-05-03 | 삼성전자주식회사 | 절연막 형성용 조성물 및 이를 이용한 절연막 또는 절연막패턴의 형성방법 |
JP4447283B2 (ja) | 2003-11-05 | 2010-04-07 | 東京応化工業株式会社 | シリカ系被膜形成用塗布液 |
JP2005139265A (ja) | 2003-11-05 | 2005-06-02 | Tokyo Ohka Kogyo Co Ltd | シリカ系被膜形成用塗布液 |
US8053159B2 (en) | 2003-11-18 | 2011-11-08 | Honeywell International Inc. | Antireflective coatings for via fill and photolithography applications and methods of preparation thereof |
CN1680466A (zh) | 2003-11-24 | 2005-10-12 | 三星电子株式会社 | 用多面体分子倍半硅氧烷,形成半导体器件用层间电介质膜的方法 |
US20050136687A1 (en) | 2003-12-19 | 2005-06-23 | Honeywell International Inc | Porous silica dielectric having improved etch selectivity towards inorganic anti-reflective coating materials for integrated circuit applications, and methods of manufacture |
TWI237892B (en) | 2004-01-13 | 2005-08-11 | Ind Tech Res Inst | Method of forming thin-film transistor devices with electro-static discharge protection |
US20070020628A1 (en) * | 2004-01-28 | 2007-01-25 | Helen Francis-Lang | Tkts as mondifiers of the beta-catenin pathway and methods of use |
US7172913B2 (en) | 2004-03-19 | 2007-02-06 | Samsung Electronics Co., Ltd. | Thin film transistor array panel and manufacturing method thereof |
KR20060129509A (ko) * | 2004-03-26 | 2006-12-15 | 후지 샤신 필름 가부시기가이샤 | 반사 방지 필름의 제조방법, 반사 방지 필름, 편광판 및화상 표시 장치 |
JP4494061B2 (ja) | 2004-03-30 | 2010-06-30 | 東京応化工業株式会社 | ポジ型レジスト組成物 |
KR100569220B1 (ko) | 2004-04-06 | 2006-04-10 | 한국과학기술원 | 플라즈마 디스플레이 패널용 유전체 조성물 |
US7067841B2 (en) | 2004-04-22 | 2006-06-27 | E. I. Du Pont De Nemours And Company | Organic electronic devices |
US7177000B2 (en) | 2004-05-18 | 2007-02-13 | Automotive Systems Laboratory, Inc. | Liquid crystal display cell structure and manufacture process of a liquid crystal display comprising an opening formed through the color filter and partially the buffer layer |
KR101275635B1 (ko) | 2004-07-16 | 2013-06-14 | 다우 코닝 코포레이션 | 방사선 민감성 실리콘 수지 조성물 |
WO2006009132A1 (ja) * | 2004-07-21 | 2006-01-26 | Catalysts & Chemicals Industries Co., Ltd. | シリカ系微粒子、その製造方法、被膜形成用塗料および被膜付基材 |
US7015061B2 (en) | 2004-08-03 | 2006-03-21 | Honeywell International Inc. | Low temperature curable materials for optical applications |
US20080157065A1 (en) | 2004-08-03 | 2008-07-03 | Ahila Krishnamoorthy | Compositions, layers and films for optoelectronic devices, methods of production and uses thereof |
JP2006045352A (ja) | 2004-08-04 | 2006-02-16 | Hitachi Chem Co Ltd | シリカ系被膜形成用組成物、シリカ系被膜及びその形成方法並びにシリカ系被膜を備える電子部品 |
KR20060020830A (ko) | 2004-09-01 | 2006-03-07 | 삼성코닝 주식회사 | 계면활성제를 템플릿으로 이용한 저유전성 메조포러스박막의 제조방법 |
KR100699996B1 (ko) | 2004-09-02 | 2007-03-26 | 삼성에스디아이 주식회사 | 회로 측정용 패드를 포함하는 유기전계발광표시장치와 그제조방법 |
US20060047034A1 (en) | 2004-09-02 | 2006-03-02 | Haruaki Sakurai | Composition for forming silica-based film, method of forming silica-based film, and electronic component provided with silica-based film |
JP2005042118A (ja) | 2004-09-07 | 2005-02-17 | Hitachi Chem Co Ltd | シリカ系被膜形成用組成物、シリカ系被膜の製造方法及び電子部品 |
JP5143334B2 (ja) | 2004-09-07 | 2013-02-13 | 日立化成工業株式会社 | シリカ系被膜形成用組成物、シリカ系被膜及びその形成方法、並びにシリカ系被膜を備える電子部品 |
JP2005105281A (ja) | 2004-10-15 | 2005-04-21 | Hitachi Chem Co Ltd | シリカ系被膜形成用組成物、シリカ系被膜及びその形成方法、並びにシリカ系被膜を備える電子部品 |
JP2005105282A (ja) | 2004-10-15 | 2005-04-21 | Hitachi Chem Co Ltd | シリカ系被膜形成用組成物、シリカ系被膜及びその形成方法、並びにシリカ系被膜を備える電子部品 |
JP2005105283A (ja) | 2004-10-27 | 2005-04-21 | Hitachi Chem Co Ltd | シリカ系被膜形成用組成物、シリカ系被膜及びその形成方法、並びにシリカ系被膜を備える電子部品 |
JP2005072615A (ja) | 2004-10-29 | 2005-03-17 | Hitachi Chem Co Ltd | シリカ系被膜形成用組成物、シリカ系被膜、シリカ系被膜の製造方法及び電子部品 |
JP2005105284A (ja) | 2004-10-29 | 2005-04-21 | Hitachi Chem Co Ltd | シリカ系被膜形成用組成物、シリカ系被膜、シリカ系被膜の製造方法及び電子部品 |
JP5143335B2 (ja) | 2004-11-12 | 2013-02-13 | 日立化成工業株式会社 | シリカ系被膜形成用組成物、シリカ系被膜及びその形成方法、並びにシリカ系被膜を備える電子部品 |
JP2005136429A (ja) | 2004-11-12 | 2005-05-26 | Hitachi Chem Co Ltd | シリカ系被膜形成用組成物、シリカ系被膜及びその形成方法、並びにシリカ系被膜を備える電子部品 |
JP2006183028A (ja) | 2004-11-30 | 2006-07-13 | Hitachi Chem Co Ltd | シリカ系被膜形成用組成物、シリカ系被膜の形成方法、シリカ系被膜、及び、電子部品 |
JP2006183029A (ja) | 2004-11-30 | 2006-07-13 | Hitachi Chem Co Ltd | シリカ系被膜形成用組成物、シリカ系被膜の形成方法、シリカ系被膜、及び、電子部品 |
KR20060068348A (ko) | 2004-12-16 | 2006-06-21 | 삼성코닝 주식회사 | 실록산계 중합체 및 상기 중합체를 이용한 절연막 제조방법 |
US20060132459A1 (en) | 2004-12-20 | 2006-06-22 | Huddleston Wyatt A | Interpreting an image |
EP1829945A4 (en) | 2004-12-21 | 2011-03-23 | Hitachi Chemical Co Ltd | FILM, SILICON FILM AND METHOD OF MANUFACTURING THEREOF, COMPOSITION FOR FORMING THE SILICON FILM AND ELECTRONIC COMPONENT |
JP2006213908A (ja) | 2004-12-21 | 2006-08-17 | Hitachi Chem Co Ltd | シリカ系被膜形成用組成物、シリカ系被膜の形成方法、シリカ系被膜、及び、電子部品 |
DE602005011394D1 (de) | 2004-12-22 | 2009-01-15 | Rohm & Haas Elect Mat | Optische Trockenfilme und Verfahren zur Herstellung optischer Vorrichtungen mit Trockenfilmen |
JP2006182811A (ja) | 2004-12-24 | 2006-07-13 | Tokyo Ohka Kogyo Co Ltd | シリカ系被膜形成用塗布液 |
US7323514B2 (en) | 2004-12-30 | 2008-01-29 | 3M Innovative Properties Company | Low refractive index fluoropolymer coating compositions for use in antireflective polymer films |
US7374812B2 (en) | 2004-12-30 | 2008-05-20 | 3M Innovative Properties Company | Low refractive index coating composition for use in antireflection polymer film coatings and manufacturing method |
US20060155594A1 (en) | 2005-01-13 | 2006-07-13 | Jess Almeida | Adaptive step-by-step process with guided conversation logs for improving the quality of transaction data |
KR101119141B1 (ko) | 2005-01-20 | 2012-03-19 | 삼성코닝정밀소재 주식회사 | 폴리머 나노 입자를 포함하는 저유전 박막 형성용 조성물및 이를 이용한 저유전 박막의 제조방법 |
JP4513966B2 (ja) | 2005-03-07 | 2010-07-28 | 信越化学工業株式会社 | プライマー組成物及びそれを用いた電気電子部品 |
JP2006249181A (ja) | 2005-03-09 | 2006-09-21 | Fuji Photo Film Co Ltd | 絶縁材料形成用組成物の製造方法、絶縁材料形成用組成物およびこれを用いた絶縁膜 |
NZ563647A (en) | 2005-05-31 | 2010-01-29 | Xerocoat Inc | Control of morphology of silica films |
US8029871B2 (en) * | 2005-06-09 | 2011-10-04 | Hoya Corporation | Method for producing silica aerogel coating |
KR20060134304A (ko) | 2005-06-22 | 2006-12-28 | 삼성전자주식회사 | 액정 표시 장치 |
US20070004587A1 (en) | 2005-06-30 | 2007-01-04 | Intel Corporation | Method of forming metal on a substrate using a Ruthenium-based catalyst |
KR20070010618A (ko) | 2005-07-19 | 2007-01-24 | 삼성전자주식회사 | 표시 장치 및 박막 트랜지스터 표시판 |
JP4677937B2 (ja) | 2005-07-20 | 2011-04-27 | セイコーエプソン株式会社 | 膜パターンの形成方法、デバイス、電気光学装置、電子機器、及びアクティブマトリクス基板の製造方法 |
KR101209046B1 (ko) | 2005-07-27 | 2012-12-06 | 삼성디스플레이 주식회사 | 박막트랜지스터 기판과 박막트랜지스터 기판의 제조방법 |
US20070023864A1 (en) | 2005-07-28 | 2007-02-01 | International Business Machines Corporation | Methods of fabricating bipolar transistor for improved isolation, passivation and critical dimension control |
KR20070014281A (ko) | 2005-07-28 | 2007-02-01 | 삼성전자주식회사 | 액정 표시 장치 |
KR20070014579A (ko) | 2005-07-29 | 2007-02-01 | 삼성전자주식회사 | 유기 박막 트랜지스터 표시판 및 그 제조 방법 |
KR20070015314A (ko) | 2005-07-30 | 2007-02-02 | 삼성전자주식회사 | 액정표시장치 및 그의 제조 방법 |
KR100683791B1 (ko) | 2005-07-30 | 2007-02-20 | 삼성에스디아이 주식회사 | 박막 트랜지스터 기판 및 이를 구비한 평판 디스플레이장치 |
KR101237011B1 (ko) | 2005-08-02 | 2013-02-26 | 삼성디스플레이 주식회사 | 액정 표시 장치 |
JP4039446B2 (ja) | 2005-08-02 | 2008-01-30 | エプソンイメージングデバイス株式会社 | 電気光学装置及び電子機器 |
TW200710521A (en) | 2005-08-05 | 2007-03-16 | Samsung Electronics Co Ltd | Liquid crystal display |
KR101247698B1 (ko) | 2005-08-05 | 2013-03-26 | 삼성디스플레이 주식회사 | 액정 표시 장치 |
KR101240644B1 (ko) | 2005-08-09 | 2013-03-11 | 삼성디스플레이 주식회사 | 박막 트랜지스터 표시판 |
KR20070019457A (ko) | 2005-08-12 | 2007-02-15 | 삼성전자주식회사 | 박막 트랜지스터 표시판 및 이를 포함하는 액정표시장치 |
TWI340607B (en) | 2005-08-12 | 2011-04-11 | Au Optronics Corp | Organic electroluminescent display panel and fabricating method thereof |
US20070074757A1 (en) | 2005-10-04 | 2007-04-05 | Gurdian Industries Corp | Method of making solar cell/module with porous silica antireflective coating |
US8153282B2 (en) | 2005-11-22 | 2012-04-10 | Guardian Industries Corp. | Solar cell with antireflective coating with graded layer including mixture of titanium oxide and silicon oxide |
DE102006016474A1 (de) * | 2006-04-07 | 2007-10-11 | Ivoclar Vivadent Ag | Dentalmaterialien enthaltend hydrophobe, nanopartikuläre Kieselsäurecokondensate und deren Verwendung |
US20080032052A1 (en) | 2006-08-04 | 2008-02-07 | Kostantinos Kourtakis | Low refractive index composition |
US20080185041A1 (en) | 2007-02-02 | 2008-08-07 | Guardian Industries Corp. | Method of making a photovoltaic device with antireflective coating containing porous silica and resulting product |
JP2008205008A (ja) * | 2007-02-16 | 2008-09-04 | Shin Etsu Chem Co Ltd | 半導体層間絶縁膜形成用組成物とその製造方法、膜形成方法と半導体装置 |
US8642246B2 (en) | 2007-02-26 | 2014-02-04 | Honeywell International Inc. | Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof |
US7767253B2 (en) * | 2007-03-09 | 2010-08-03 | Guardian Industries Corp. | Method of making a photovoltaic device with antireflective coating |
JP5231748B2 (ja) * | 2007-04-03 | 2013-07-10 | 信越化学工業株式会社 | ゼオライト微粒子の製造方法、安定化されたゼオライト及びその利用方法 |
JP5194563B2 (ja) * | 2007-05-28 | 2013-05-08 | 信越化学工業株式会社 | 耐擦傷性コーティング組成物、及び被覆物品 |
US20090026924A1 (en) | 2007-07-23 | 2009-01-29 | Leung Roger Y | Methods of making low-refractive index and/or low-k organosilicate coatings |
KR101454054B1 (ko) | 2007-09-06 | 2014-10-27 | 코니카 미놀타 어드밴스드 레이어즈 인코포레이티드 | 광학 필름, 편광판 및 액정 표시 장치 |
US20090101209A1 (en) | 2007-10-19 | 2009-04-23 | Guardian Industries Corp. | Method of making an antireflective silica coating, resulting product, and photovoltaic device comprising same |
US20090101203A1 (en) | 2007-10-23 | 2009-04-23 | Guardian Industries Corp. | Method of making an antireflective silica coating, resulting product, and photovoltaic device comprising same |
US8586144B2 (en) * | 2008-03-25 | 2013-11-19 | Pentax Ricoh Imaging Company, Ltd. | Method for forming anti-reflection coating and optical element |
US20100002714A1 (en) * | 2008-07-01 | 2010-01-07 | George Madathilparambil George | PCI express network |
US20100027144A1 (en) | 2008-07-31 | 2010-02-04 | Guardian Industries Corp. | Articles with protective coating |
US8092905B2 (en) | 2008-10-10 | 2012-01-10 | E.I Du Pont De Nemours And Company | Compositions containing multifunctional nanoparticles |
JP2011002644A (ja) * | 2009-06-18 | 2011-01-06 | Konica Minolta Business Technologies Inc | 画像表示装置用表示粒子および画像表示装置 |
JP3154007U (ja) | 2009-07-16 | 2009-09-24 | 岳雨 王 | ペットつなぎ |
-
2010
- 2010-06-08 US US12/796,199 patent/US8557877B2/en not_active Expired - Fee Related
- 2010-06-09 JP JP2012515094A patent/JP2012529679A/ja not_active Withdrawn
- 2010-06-09 EP EP10786741A patent/EP2412035A4/en not_active Withdrawn
- 2010-06-09 WO PCT/US2010/037898 patent/WO2010144527A2/en active Application Filing
- 2010-06-09 CN CN201080017276.0A patent/CN102405533B/zh not_active Expired - Fee Related
-
2013
- 2013-09-11 US US14/023,616 patent/US8784985B2/en not_active Expired - Fee Related
Patent Citations (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20020090519A1 (en) * | 2000-10-18 | 2002-07-11 | Merck Gmbh | Aqueous coating solution for abrasion-resistant SiO2 antireflection layers |
EP1659423A1 (en) * | 2004-11-18 | 2006-05-24 | Hitachi, Ltd. | Anti-reflecting membranes, a method for producing the membranes and their use for optical members display apparatus, optical storage media and solar energy converting devices |
GB2424382A (en) * | 2005-02-25 | 2006-09-27 | Asahi Chemical Ind | Antireflective coatings |
WO2006122308A2 (en) * | 2005-05-11 | 2006-11-16 | Yazaki Corporation | Antireflective coating compositions and methods for depositing such coatings |
DE102006046726A1 (de) * | 2006-10-02 | 2008-04-03 | Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. | Solarzelle mit strukturierter Rückseitenpassivierungsschicht aus SIOx und SINx sowie Verfahren zur Herstellung |
US20080295884A1 (en) * | 2007-05-29 | 2008-12-04 | Sharma Pramod K | Method of making a photovoltaic device or front substrate with barrier layer for use in same and resulting product |
US20090087665A1 (en) * | 2007-08-28 | 2009-04-02 | Hoya Corporation | Production method of silica aerogel film, anti-reflection coating and optical element |
WO2009069712A1 (ja) * | 2007-11-30 | 2009-06-04 | Nissan Chemical Industries, Ltd. | ブロック化イソシアネート基を有するシリコン含有レジスト下層膜形成組成物 |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN107078172A (zh) * | 2014-07-14 | 2017-08-18 | 恩基科技股份有限公司 | 用于增强可靠性的涂层材料和方法 |
CN107849373A (zh) * | 2015-07-14 | 2018-03-27 | 霍尼韦尔国际公司 | 用于蓝宝石的防反射涂层 |
CN106206759A (zh) * | 2016-08-31 | 2016-12-07 | 天津蓝天太阳科技有限公司 | 一种太阳电池高透过率减反射膜的制备方法 |
Also Published As
Publication number | Publication date |
---|---|
WO2010144527A3 (en) | 2011-04-07 |
WO2010144527A2 (en) | 2010-12-16 |
CN102405533B (zh) | 2016-05-18 |
JP2012529679A (ja) | 2012-11-22 |
US8557877B2 (en) | 2013-10-15 |
US20140011019A1 (en) | 2014-01-09 |
EP2412035A4 (en) | 2012-11-21 |
US8784985B2 (en) | 2014-07-22 |
EP2412035A2 (en) | 2012-02-01 |
US20100313950A1 (en) | 2010-12-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN102405533A (zh) | 用于光学透明基板的抗反射涂层 | |
KR101864458B1 (ko) | 저굴절률막 형성용 조성물 및 이것을 사용한 저굴절률막의 형성 방법 | |
US7642199B2 (en) | Silica and silica-like films and method of production | |
US8329305B2 (en) | Coating film having low refractive index and water repellency | |
CN103619965B (zh) | 用于光学元件的涂料组合物 | |
KR20090079883A (ko) | 저굴절률 피막 형성용 도포액, 그 제조 방법 및 반사 방지재 | |
JP2012246440A (ja) | 無機コーティング組成物 | |
KR101457234B1 (ko) | 저굴절률 피막 형성용 도포액, 그 제조 방법 및 반사 방지재 | |
CN105273622B (zh) | 低折射率膜形成用组合物及其制法、低折射率膜的形成法 | |
JP5910494B2 (ja) | スプレー塗布用の反射防止被膜形成用塗布液 | |
CN104769058A (zh) | 高度耐用的减反射涂层 | |
KR101117296B1 (ko) | 태양광 모듈용 반사방지막 조성물 | |
KR101739076B1 (ko) | 반사방지용 코팅조성물 및 그 제조방법 | |
JP2016011318A (ja) | 撥水膜用塗液および撥水膜付き物品 | |
KR102188211B1 (ko) | 저굴절률막 형성용 조성물 및 그 제조 방법 그리고 저굴절률막의 형성 방법 | |
KR101463641B1 (ko) | 저굴절률 피막 형성용 도포액, 그 제조 방법 및 반사 방지재 | |
KR102174467B1 (ko) | 반사방지용 코팅 조성물 | |
EP2937319B1 (en) | Method of manufacturing a composition for forming a thin layer with low refractive index, and method of manufacturing a thin layer with low refractive index | |
KR20080105056A (ko) | 피막 형성용 도포액, 그 제조 방법, 그 피막 및 반사 방지재 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant | ||
CF01 | Termination of patent right due to non-payment of annual fee | ||
CF01 | Termination of patent right due to non-payment of annual fee |
Granted publication date: 20160518 Termination date: 20170609 |