CN103619965B - 用于光学元件的涂料组合物 - Google Patents

用于光学元件的涂料组合物 Download PDF

Info

Publication number
CN103619965B
CN103619965B CN201280026804.8A CN201280026804A CN103619965B CN 103619965 B CN103619965 B CN 103619965B CN 201280026804 A CN201280026804 A CN 201280026804A CN 103619965 B CN103619965 B CN 103619965B
Authority
CN
China
Prior art keywords
coating composition
coating
solvent
boiling point
base material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN201280026804.8A
Other languages
English (en)
Other versions
CN103619965A (zh
Inventor
D·瓦拉普拉萨
B·科罗列夫
S·穆克霍帕赫亚伊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Honeywell International Inc
Original Assignee
Honeywell International Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Honeywell International Inc filed Critical Honeywell International Inc
Publication of CN103619965A publication Critical patent/CN103619965A/zh
Application granted granted Critical
Publication of CN103619965B publication Critical patent/CN103619965B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B1/00Optical elements characterised by the material of which they are made; Optical coatings for optical elements
    • G02B1/10Optical coatings produced by application to, or surface treatment of, optical elements
    • G02B1/11Anti-reflection coatings
    • G02B1/111Anti-reflection coatings using layers comprising organic materials
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D1/00Coating compositions, e.g. paints, varnishes or lacquers, based on inorganic substances
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/02Polysilicates
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D5/00Coating compositions, e.g. paints, varnishes or lacquers, characterised by their physical nature or the effects produced; Filling pastes
    • C09D5/006Anti-reflective coatings
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D7/00Features of coating compositions, not provided for in group C09D5/00; Processes for incorporating ingredients in coating compositions
    • C09D7/20Diluents or solvents

Abstract

记载了抗反射涂层和涂料组合物,光学元件和制备涂料组合物和光学元件的工艺。所述涂料组合物由至少一种烷氧基硅烷材料和至少一种高沸点溶剂形成。所述涂料组合物可以采用辊涂工艺来施涂。

Description

用于光学元件的涂料组合物
技术领域
本发明总体涉及用于光学元件的涂料组合物,更特别地涉及在光伏电池应用中使用的玻璃盖板(glass cover)用的抗反射涂层。
背景技术
抗反射(AR)涂层应用于许多工业,包括光伏(PV)模块的制造,以在光穿透光学透明元件如玻璃时减少入射光线的反射部分。玻璃基底的AR涂层的目标是实现尽量接近1.23的折射率,以使得在宽的光波长谱带上的光透射最大化。
一层或多层低折射率涂料可以在宽波长范围和宽入射角范围内获得改善的透光率。这种涂料可以经由溶胶-凝胶工艺沉积(在大气压或非真空下),并且可以是高成本效率的。这些薄的抗反射涂层,可以由采用常规涂布技术施涂到玻璃上的二氧化硅前体形成,据报道将光谱可见光部分的太阳光透光率提高了约二到三个百分点。已采用多种机理来形成这种溶胶-凝胶材料,包括经由烷氧基硅烷的水解/缩合反应。参见,例如,G.Wu等的,“Anovel route to control refractive index of sol-gel derived nanoporous filmsused as broadband antireflective coatings”,材料科学与工程B78(2000),135-139页。
溶胶-凝胶涂料可以采用各种方法施涂到光学透明元件上,包括旋涂,狭缝挤压式涂布,喷涂,浸涂,幕涂,辊涂以及其它涂布技术。取决于施涂方法,包含在涂料组合物当中的以氧化物表示的固体总量可以在大约1到大约25重量百分数范围内变化。在溶胶-凝胶工艺当中使用的常规溶剂体系通常具有低沸点和高挥发性,以使得它们在涂料施涂到基材上之后快速蒸发,从而尽量减少固化时间和温度条件。
对于某些湿涂料施涂方法,并且特别是某些连续涂布方法的一个挑战是难以在光学透明基材上获得均匀的涂层。包含常规低沸点溶剂体系的溶胶-凝胶涂料组合物的使用,趋向于表现出随着时间流逝增大的固体浓度和/或粘度,从而导致不均匀的涂层。这会导致质量保证受到挑战以及材料成本的增加。
发明内容
本文公开的实施方案涉及涂料组合物,采用该涂料组合物的光学元件如光学模块,以及制备溶胶-凝胶组合物和/或将溶胶-凝胶组合物施涂到光学元件上的改进工艺。
一个实施方案是涂料组合物,其包含至少一种烷氧基硅烷残体(residue)和至少大约50wt%的在大气压下具有至少约125℃的沸点的溶剂。
另一个实施方案是形成光学透明元件的方法,其中在该光学透明基材的一部分上通过辊涂或其它涂布方式施涂涂料组合物,然后加热以形成涂层如抗反射涂层。所述涂料组合物包含至少一种烷氧基硅烷残体和至少大约50wt%的至少一种在大气压下具有至少125℃的沸点的溶剂。
更进一步的实施方案是生产涂料组合物的方法,其中在合适的条件下将至少一种烷氧基硅烷与高沸点溶剂混合从而形成组合物。
附图说明
附图1是根据本发明的一个实施方案的示例性辊涂系统的示意图。
附图2提供了依照本发明一个实施方案的包含涂层的光伏电池的示意图。
具体实施方式
在一个实施方案当中,本发明提供了涂料组合物,其包含至少一种烷氧基硅烷残体,至少一种高沸点溶剂,任选的水和任选的催化量的酸或碱。根据某些实施方案,所述涂料组合物可以不含或基本上不含低沸点溶剂。
各种从商业渠道获取的烷氧基硅烷均可用于形成所述涂料组合物。合适的烷氧基硅烷可以具有下面的通式:
其中,至少2个R基团独立地是C1到C4烷氧基且剩余的R基团,如果有的话,独立地选自由氢、烷基、苯基、卤素、取代苯基组成的组。术语烷氧基包括可在接近室温的温度下通过水解容易地从硅上解离(cleave)的任何其它有机基团。合适的烷氧基硅烷包括四乙氧基硅烷(TEOS)和四甲氧基硅烷(TMOS)。其它的例子包括三烷氧基硅烷如甲基三乙氧基硅烷(MTEOS),氨丙基三乙氧基硅烷(APTEOS)和APTEOS-三氟甲磺酸酯,乙烯基三乙氧基硅烷(VTEOS),和二乙基磷酰乙基三乙氧基硅烷(diethylphosphatoethyltriethoxy silane)。实例还包括二烷氧基硅烷如甲基二乙氧基硅烷(MDEOS),二甲基二乙氧基硅烷(DMDEOS),和苯基二乙氧基硅烷(PDEOS)。进一步的实例包括单烷氧基硅烷如三甲氧基硅烷,且特别是(3-缩水甘油醚氧丙基)-三甲氧基硅烷。也可使用烷氧基硅烷材料的组合。
在一个实施方案当中,使用至少两种烷氧基硅烷材料,且第一烷氧基硅烷是四烷氧基硅烷,如TEOS。第二烷氧基硅烷可以包括任何三烷氧基硅烷,二烷氧基硅烷或单烷氧基硅烷,包括上面所列出的那些。例如,MTEOS和/或VTEOS可特别适用于改善粘附和/或硬度。在一个实施方案当中,以烷氧基硅烷材料的总摩尔数计,第二烷氧基硅烷材料或材料组合可以从至多约50mol%到40mol%到35mol%到25mol%到15mol%的量与第一烷氧基硅烷材料混合。在另一实施方案中,以两种烷氧基硅烷材料的总摩尔数计,第二烷氧基硅烷材料可以至少约10mol%到至少约40mol%的量添加。第一烷氧基硅烷与第二烷氧基硅烷材料的摩尔比可为1:1到1000:1,更特别地为10:1到500:1,且甚至更特别地为25:1到100:1。
可使用前述材料的组合来达到所需的涂层性质。在一个实施方案中,涂料组合物包含TEOS和MTEOS。在另一实施方案当中,涂料组合物包含TEOS、MTEOS、VTEOS。在又一实施方案中,涂料组合物包含TEOS、MTEOS、VTEOS。烷氧基硅烷的其它组合以及由这些烷氧基硅烷形成AR涂层材料的方法已公开于美国申请12/796,199中,其全文以引用方式并入本文中。
根据一个实施方案,可向涂料组合物当中添加低于约25重量%的烷氧基硅烷材料,更特别地低于约15重量%的烷氧基硅烷材料,甚至更特别地低于约10重量%的烷氧基硅烷材料,甚至更特别地低于约5重量%的烷氧基硅烷材料以及甚至更特别地低于约3重量%的烷氧基硅烷。
合适的高沸点溶剂在大气压下可具有至少约125℃的沸点,更特别地至少约150℃,更特别地至少约170℃,且甚至更特别地至少约185℃的沸点。在一个实施方案中,所述高沸点溶剂可以包括可与水及烷氧基硅烷混溶的二醇和/或C1到C4烷基的醚。这类亚烷基二醇可以具有0.021mol/cm3或更低的羟基浓度以及约100或更高的重均分子量。合适的高沸点溶剂组合物组分的实例包括乙二醇、丙二醇、二乙二醇、三乙二醇、四乙二醇、五乙二醇、二丙二醇、六乙二醇以及任意前述物质的烷基醚。特别合适的实例是二丙二醇甲醚。高沸点溶剂的混合物也是适用的。
在一个实施方案当中,涂料组合物包含至少约50重量%的高沸点溶剂(或溶剂组合),更特别地至少约60重量%的高沸点溶剂,甚至更特别地至少约70重量%的高沸点溶剂,甚至更特别地至少约80重量%的高沸点溶剂,且甚至更特别地至少约90重量%的高沸点溶剂。
在另一实施方案当中,添加很少或不添加低沸点溶剂到涂料组合物中,从而它基本上不含或完全不含低沸点溶剂。应该注意的是,涂料组合物内发生的水解反应可导致低沸点溶剂副产物,例如甲醇和乙醇。尽管如此,无论低沸点溶剂是外加的还是作为副产物形成,在一个实施方案当中涂料组合物可包含低于10重量%的在大气压下具有低于125℃沸点的溶剂,更特别地低于5.0重量%的具有低于125℃沸点的溶剂,甚至更特别地低于1.0重量%的具有低于125℃沸点的溶剂,且甚至更特别地低于0.5重量%的具有低于125℃沸点的溶剂。在其它实施方案中,本文所提供浓度范围内的低沸点溶剂在大气压下具有低于150℃、更特别地170℃且甚至更特别地低于185℃的沸点。在另一实施方案当中,涂料组合物不含或基本上不含外加的乙醇和/或丙酮。
涂料组合物还可以包含任选的催化剂。合适的酸催化剂包括硝酸、盐酸、硫酸、乙酸及其它类似的有机酸。合适的碱催化剂包括氢氧化铵,具有式R1R2R3R4N+OH-的季铵化合物,其中R1、R2、R3和R4各自独立地为苯基、氢或C1-16烷基。在一些实施方案当中,合适的碱催化剂包括季铵化氢氧化铵,如四丁基氢氧化铵和四甲基氢氧化铵。在一些实施方案当中,合适的碱催化剂包括这些组分的水溶液,并且除了碱催化剂水溶液中的以外,可以任选地包含额外的蒸馏水。
在更进一步的实施方案当中,涂料组合物中可以包含一种或多种多元醇,其可以增强涂料组合物的涂布特性和其它性质。例如,涂料组合物可以包含羟值为约14mg KOH/g至约590mg KOH/g的多元醇。具体的多元醇种类包括己内酯多元醇,聚乙二醇,聚丙二醇及聚碳酸酯二醇。
根据一实施方案,涂料组合物通过混合至少一种烷氧基硅烷、至少一种高沸点溶剂、任选的水、任选的催化量的酸或碱和任选的多元醇形成。
取决于所使用的所述一种或多种烷氧基硅烷材料和任选的催化剂,涂料组合物可在施涂于基材上之前经由水解和/或酯交换机理在使得烷氧基硅烷材料部分或完全聚合的条件下形成。例如,包括碱催化剂在内的涂料组合物组分可在,例如,夹套式搅拌釜反应器(STR)中经由间歇或半间歇模式在约35℃至70℃的适合温度下混合并反应约1小时至约6小时的适合的反应时间,更特别地1小时至3.5小时。
在前述条件下,可发生水解和缩合反应从而在溶液中形成聚合物。取决于反应条件,溶液中所含的聚合物可在直链或无规支化链,到多孔基质,到致密胶体粒子之间变化。在任何情形下,所得的聚合物将包含前述的烷氧基硅烷材料的残体。本文所用的术语“残体(residue)”旨在表示衍生自起始添加到涂料组合物当中的烷氧基硅烷的聚合物分子的一部分。举例来说,众所周知1摩尔四乙氧基硅烷在前述条件下反应形成1摩尔SiO2,其将构成四乙氧基硅烷残体的一个实例。
还可以理解可形成某些副产物并作为聚合物的一部分或单独组分包含于涂料组合物当中。例如,TEOS的水解可导致生成作为副产物的乙醇。
在一个实施方案当中,涂料组合物可以包含低于15重量%的SiO2,更特别地低于约10重量%的SiO2,甚至更特别地低于约5重量%的SiO2,且甚至更特别地低于约3重量%的SiO2。由于已知(或可测定)烷氧基硅烷:SiO2的摩尔比,因此SiO2的固体浓度可基于添加到混合物当中的烷氧基硅烷的量(以克表示)以及烷氧基硅烷和SiO2的分子量来计算。
涂料组合物中SiO2的固体浓度也可以通过重力分析来测定,例如,通过添加强碱到涂料组合物中并随后蒸发液体组分以形成纯SiO2
在另一实施方案当中,聚合物包含至少一个TEOS残体,至少一个MTEOS残体或二者。在另一实施方案当中,聚合物另外包含至少一个VTEOS残体。
为进一步控制反应条件,在于碱性条件下经过合适的反应时间后,可使用酸,例如硝酸,调节涂料组合物的pH值到约0到约4.0之间,更特别地约0到约2.0且甚至更特别地约0.5到约1.7。pH值的降低可以影响聚合反应条件,继而控制涂料组合物和随后固化的涂层中所含的聚合物的粒度。在一个实施方案当中,涂料组合物当中聚合物的平均粒度可低于10nm,更特别地低于1nm。固化后涂层的平均粒度可介于约15nm与约100nm之间,更特别地介于约25nm与约75nm之间,且聚合物分子量可在约25000到约150000道尔顿的范围内。涂料还可以用包含水、IPA,丙酮和/或PGMEA中的一种或多种的低沸点溶剂进一步稀释。在稀释期间可添加额外的酸以维持期望的pH。
在一个替代实施方案当中,涂料组合物于一定条件下形成,该条件使得所述一种或多种烷氧基硅烷材料保持聚合物前体的形式直至涂料组合物施涂到基材上并热固化使其聚合为止。
本发明实施方案中的涂料组合物是随时可用的,无需从溶液当中移除粒子。此外,通过本发明实施方案形成的涂料组合物可在环境温度下长时间保持稳定。此外,本发明的涂料组合物可在-20℃到-40℃冷冻箱中储存以延长保存期限,而不会实质性地影响玻璃涂层所期望的光学或机械性质。长时间保存AR涂料的能力可以提供显著的制造优势,特别是将涂料组合物运输至现场以外的位置和/或使用前长时间储存时。
涂料组合物可以施涂到光学透明基材上,例如玻璃基材(如钠钙玻璃、浮法玻璃、硼硅酸盐和低铁钠钙玻璃)、塑料盖板、丙烯酸菲涅尔透镜(Fresnel lense)或其它光学透明基材。
涂料组合物可通过各种通常已知的涂布方法施涂到光学透明元件的表面上,包括旋涂、狭缝挤压式涂布,喷涂,浸涂,辊涂以及其它涂布技术。取决于涂布方法,涂料组合物可以变化以使得涂料组合物的固体浓度在约1重量%到约25重量%的范围内。在一些实施方案当中,形成较为浓缩的批料,随后在现场稀释至期望的浓度可具有制造优势。在替代的实施方案当中,稀释可在初始混合阶段以前或在此期间发生。在任一情形下,可使用高沸点溶剂以进一步稀释涂料组合物。
涂料组合物可在光学透明基材上形成为一个或多个层。在某些实施方案中,可采用包含不同材料或不同组分浓度的多层。在其它实施方案中,一个或多个层可由非烷氧基硅烷材料形成。这些层可在施涂涂料组合物之前施加到基材上和/或施加到涂料组合物形成的涂层之上。
根据某些实施方式,涂料组合物可采用辊涂技术施涂。如图1当中示意性显示地,常规辊涂系统10通常包括输送带12;施涂辊14,其可以沿与输送带12相同的方向(正向辊)或相反的方向(反向辊)旋转;计量辊16,其用于控制涂料组合物的施涂;支撑或压力辊18;均衡辊19;至少一个稳定辊20和涂料容器22。当玻璃基材24沿输送带12移动时,从涂料容器22转移到施涂辊14的涂料组合物以大致均一的厚度施涂到基材24。本发明的一个显著的优点在于,涂料组合物由于使用了大浓度的高沸点溶剂从而具有低挥发性。因此,留存在容器22当中的涂料组合物的溶剂的蒸发速率显著降低,使得随时间流逝在连续辊系统10中更均匀地施涂涂料组合物。特别地,高沸点溶剂防止因容器22中溶剂的蒸发而造成固体浓度随时间显著增加。
施涂后,涂料组合物固化到光学透明基材上。当施涂到玻璃基材时,涂料组合物可经受高温热回火步骤,取决于玻璃的组成在约400℃至约750℃范围内持续约1分钟到1小时以固化涂层。在高温加热步骤之前,可先使涂覆基材经受约200℃到约300℃的低温加热步骤。可以理解前述各种烷氧基硅烷前体或聚合物在该加热过程期间可发生进一步改性。
经本发明实施方案的组合物涂覆的光学元件可具有改善的光透射特性。例如,涂层可具有介于约100到150nm的厚度,在约1.15到约1.3范围的折射率,在350nm到1100nm波长范围内高达约3.5%的透射增益。如果光学透明基材的两侧均经过涂覆,则可达到额外的透射增益。关于这些性质的例证数据在下面的实施例部分中阐述。
图2是根据本发明的实施方案用于将光转换成电的光伏模块(如太阳能电池)的截面图。来自太阳等的输入光或入射光首先入射到AR涂层1上,穿过它并然后穿过玻璃基材2和正面透明电极3,之后到达模块的光伏半导体(活性膜)4。如图2所示,该模块还可包括,但并非必需,反射增强氧化物和/或EVA膜5,和/或背面金属触点和/或反射镜6。当然,可使用其它类型的光伏器件,且提供图2的模块仅仅是出于示例和理解的目的。还应理解,模块可包括单个AR涂覆的光学透明基材,该基材覆盖多个串联连接的光伏电池。
如上所述,涂层1降低入射光的反射并允许更多的光到达光伏模块的薄膜光伏半导体膜4,由此使得该装置更有效地起作用。尽管上文论述的某些涂层1用于光伏装置/模块的情形,但本发明并不限于此。根据本发明的AR涂料可用于其它的应用中。而且,在玻璃基材上在该涂层之下可提供其它的一层或多层,使得即使有其它层提供在其间该涂层也视为布置在玻璃基材上。
实施例1
将二丙二醇甲醚(“DMP”)((640.90g)沸点190℃,蒸气压0.37毫巴),80.62g(0.387mol)四乙氧基硅烷及38.89g(0.218mol)甲基三乙氧基硅烷添加到具有机械搅拌器的2L圆底烧瓶中。当用搅拌器剧烈混合各组分时,将存于6.242g甲醇中的1.0M四丁基氢氧化铵(“TBAH”)(7.52mmol)与200.243g(11.11mol)去离子水(DI water)的混合物逐步添加(经约30秒)至反应混合物中。于室温下搅拌反应混合物24小时后,添加3.12g35%HNO3,并将所得的混合物再搅拌15分钟。
将5g混合物的样品(“混合物A”)用5g二丙二醇甲醚稀释以形成涂料组合物(“组合物A”)。将组合物A的第一样品旋涂于4”的Si晶片的表面上(使用实验室规模的旋涂机在1300rpm下15秒)。将经涂覆的晶片在100℃下加热2分钟,且随后于625℃下加热4分钟。所得膜具有128nm的厚度和1.28的折射率(550nm)。两者的测量均使用购自n&k技术公司(SanJose,CA)的n&k Analyzer1200。
将组合物A的第二样品旋涂于4”的正方形低铁太阳能浮法玻璃片上(使用实验室规模旋涂机在1300rpm下15秒)。将经涂覆的晶片在100℃下加热3分钟,且随后于625℃下加热4分钟。使用Hitachi牌分光光度计进行经涂覆玻璃的透射扫描,显示350nm至850nm范围内的透射比未涂覆的参照玻璃提高约2.8%。
实施例2
如实施例1所述形成一定体积的组合物A并添加到图1中示意性显示的连续反向辊涂布工艺所用的容器中。橡胶施涂辊设定为以与布置于输送带上的玻璃基材相反的方向转动。辊涂布控制器设定为沉积约6ml组合物/平方米玻璃,以得到厚度约6微米的湿膜。然后将经涂覆的玻璃基材在以约12英尺/分钟移动的输送带式烘箱中在200℃到250℃加热,且随后在箱式炉中于约650℃下加热约7分钟。使用Hitachi牌分光光度计进行经涂覆玻璃的透射扫描,显示350nm至850nm范围内的透射比未涂覆的参照玻璃提高约2.5%。
实施例3
将一定体积的组合物A用二丙二醇甲醚稀释以得到固体浓度为1.5重量%的涂料组合物。将所得到的涂料组合物应用于如实施例2所述的反向辊涂布工艺,以及其中施涂辊以与传送带上的玻璃相同的方向转动的正向辊涂布工艺。对于反向辊涂布工艺,施涂辊设定为沉积约8ml涂料组合物/平方米玻璃,以得到厚度约8微米的湿膜。对于正向辊涂布工艺,施涂辊设定为沉积厚度约6微米的湿涂料。然后将经涂覆的玻璃基材在以约12英尺/分钟移动的输送带式烘箱中在200℃到250℃加热,且随后在箱式炉中于约650℃下加热约7分钟。使用Hitachi牌分光光度计进行经涂覆玻璃的透射扫描,显示对于反向工艺而言,在350nm至850nm范围内的透射比未涂覆的参照玻璃提高约2%,且对于正向工艺而言提高约3%。
实施例4
将2g实施例1中形成的混合物A的样品用3g二丙二醇甲醚稀释(组合物B)且分为5份样品。向四份样品中分别添加0.0100g、0.0175g、0.0250g、和0.0375g存于乙醇中的10%的BYK-307组合物。BYK-307是购自于BYK USA公司的聚醚改性的聚二甲基硅氧烷。所得的样品包含0.0重量%、0.02重量%、0.035重量%、0.50重量及0.075重量%的BYK-307。将样品旋涂于4”的正方形太阳能浮法玻璃片上(在650rpm下15秒),在250℃下加热5分钟,且随后于650℃下加热5分钟。与不包含BYK-307的样品相比,包含BYK-307的样品具有改善的润湿性。
实施例5
将4.05g实施例1中形成的混合物A的样品用5.95g二丙二醇甲醚稀释(“组合物C”)。另一4.05g混合物A的样品用3.00g CAPA-2043(聚己内酯多元醇)和2.95g二丙二醇甲醚稀释,使得所得到的组合物(“组合物D”)包含30重量%的CAPA-2043。混合物A的第三样品用3.00g CAPA-3022(具有二乙二醇和甘油的己内酯聚合物)和2.95g二丙二醇甲醚稀释,使得所得的组合物(“组合物E”)包含30重量%的CAPA-3022。
将组合物C、D和E各自旋涂于4”的Si晶片上(于800rpm下15秒),在250℃下加热5分钟且于650℃下加热5分钟。在n&k Analyzer1200上测量每一个经涂覆晶片的膜性质。由组合物C形成的涂层具有144nm的厚度和1.30的折射率。由组合物D形成的涂层具有260nm的厚度和1.16的折射率。由组合物E形成的涂层具有250nm的厚度和1.17的折射率。
组合物C、D和E也各自旋涂于4”的正方形太阳能浮法玻璃片上(在650rpm下15秒),在250℃下加热5分钟,且随后于650℃下加热5分钟。由AR溶液D形成的涂层具有这三种涂层中最佳的润湿特性。
实施例6
表1当中列出的涂料组合物是通过将实施例1的混合物A与溶剂以及任意所列的添加剂混合制备的。
表1
涂料组合物 混合物A DPM CAPA-2043 CAPA-3022
F 4.05g 5.95g
G 4.05g 4.95g 1.00g
H 4.05g 4.95g 1.00g
I 4.05g 5.45g 0.50g
J 4.05g 5.45g 0.50g
将每一涂料组合物旋涂于4”的Si晶片(在650rpm下15秒),在250℃下加热5分钟,并于650℃下加热5分钟。使用n&k1200Analyzer测量每一个涂覆晶片的膜性质。结果在下表2中列出。
表2
AR涂料组合物 厚度 折射率
F 142 1.30
G 332 1.15
H 352 1.14
I 318 1.14
J 316 1.13
还将每一AR涂料组合物旋涂在4”的正方形太阳能浮法玻璃片上(于650rpm下15秒),在250℃下加热5分钟且随后于650℃下加热5分钟。每一样品的润湿性质类似。
实施例7
将三乙二醇二甲醚((13.80g)沸点216℃)、1.736g(8.33mmol)四乙氧基硅烷及0.837g(4.70mmol)甲基三乙氧基硅烷在具有磁力搅拌子的60mL玻璃瓶中混合。将0.134g存于甲醇中的1.0M四丁基氢氧化铵(0.16mmol)与2.875g(160mmol)去离子水的混合物逐步(经约30秒)添加到剧烈搅拌的反应混合物中。在室温下搅拌19小时后,反应混合物用64.0μL35%HNO3酸化,并搅拌15分钟。
将5g量的混合物用5g二丙二醇甲醚稀释。将组合物旋涂于4”的Si晶片上(在1100rpm下15秒),在100℃下加热2分钟且随后于625℃下加热4分钟。涂层厚度(68nm)和折射率(在550nm处1.32)是使用n&k1200Analyzer测量的。
实施例8
将二丙二醇甲醚((23.24g)沸点190℃,蒸气压0.37毫巴)、2.923g(14.0mmol)四乙氧基硅烷、1.411g(7.90mmol)甲基三乙氧基硅烷、0.513g(28.5mol)去离子水,和0.226g1.0M四丁基氢氧化铵(0.27mmol)在具有磁力搅拌子的60mL玻璃瓶中混合。在室温下搅拌2小时后,添加106.0μL35%HNO3并将涂料组合物再搅拌15分钟。
将1g混合物样品旋涂于4”的Si晶片上(在1300rpm下15秒),在100℃下加热2分钟且随后于625℃下加热4分钟。使用n&k测量工具测量涂层厚度(198nm)及折射率(在550nm处1.33)。
实施例9
将二丙二醇甲醚((123.25g)沸点190℃,蒸气压0.37毫巴)、15.5g(7.44mmol)四乙氧基硅烷、7.48g(4.2mmol)甲基三乙氧基硅烷、38.51g(2.14mol)去离子水和0.26g35%HNO3(1.45mmol)混合,并在充分混合后将混合物分成3等份。对于这三部分分别在室温和酸性条件下实施溶胶-凝胶工艺达22分钟、37分钟和54分钟后,添加0.80g1.0M四丁基氢氧化铵溶液(0.97mmol),以增加每一反应混合物的pH并在碱性条件下实施溶胶-凝胶工艺。在室温下搅拌1.5小时后,向每一部分中添加35%HNO3以降低pH再次至酸性条件,并搅拌15分钟。
将1g每部分样品旋涂于4”的Si-晶片上(在2000rpm下15秒),在100℃下加热2分钟且于625℃下加热4分钟。使用n&k1200Analyzer测量涂层厚度(150-220nm)及折射率(在550nm处1.33到1.36)。
实施例10
向配备有冷凝器、热电偶、取样口(sample port)和搅拌棒的1L反应器中在搅拌下装入616gm二丙二醇甲醚、丙二醇、乙二醇或前述任一者的混合物且随后添加77.49g TEOS和37.38g MTEOS到反应器中。在搅拌下向反应混合物中添加6g存于甲醇中的26%TBAH与64.155g去离子水的混合物。然后将混合物加热到55℃,并在55℃下保持2-3小时。反应完成后,在55℃下直接向混合物中添加3.6g35%HNO3的水溶液以淬灭反应。然后将反应混合物冷却至室温并用溶剂如DPM、丙二醇和共溶剂或添加剂稀释至特定浓度。然后将经过稀释的组合物在1500rpm下旋涂于硅和玻璃上持续35秒以制作膜。然后将湿膜在675℃下加热5分钟,并且测量厚度(100-150nm)、折射率(1.21-1.23)及透射率(在350-850nm内3%增益)。
可在不偏离本发明范围的前提下对所论述的示例性实施方案作出各种修改及添加。例如,尽管以上所记载的实施方案涉及具体特征,但本发明的范围还包括具有不同特征组合的实施方案和不包括所有所述特征的实施方案。因此,本发明的范围旨在涵盖所有落入权利要求范围内的所有这类替代方案,变型和变体,以及它们的所有等同方案。

Claims (18)

1.涂料组合物,其包含:
至少一种烷氧基硅烷残体;和
至少80 wt%的至少一种在大气压下具有至少185℃的沸点的溶剂,
其中所述涂料组合物的pH值为0到4.0。
2.权利要求1的涂料组合物,其中所述涂料组合物包含低于5 wt%的至少一种在大气压下具有低于125℃的沸点的溶剂。
3.权利要求1的涂料组合物,包含低于10 wt%的二氧化硅固体浓度。
4.权利要求1的涂料组合物,进一步包含至少一种多元醇。
5.权利要求1的涂料组合物,其包含至少90 wt%的所述至少一种溶剂。
6.权利要求1的涂料组合物,其中所述涂料组合物的pH值为0.5到1.7。
7.形成光学元件的方法,包括:
在光学透明基材的至少一部分上施涂至少一层涂料组合物,其中该涂料组合物包含至少一种烷氧基硅烷残体和至少80 wt%的至少一种在大气压下具有至少185℃的沸点的溶剂,以及
加热所述涂料组合物以在所述光学透明基材上形成反射涂层,其中所述涂料组合物的pH值为0到4.0。
8.权利要求7的方法,其中所述施涂步骤包括将所述涂料组合物辊涂到光学透明基材的至少一部分上。
9.权利要求7的方法,其中所述涂料组合物基本上不含在大气压下沸点低于125℃的溶剂。
10.权利要求7的方法,其中所述加热步骤包括起始在200℃到400℃下加热所述涂料组合物,然后在400℃到750℃下加热所述涂料组合物。
11.权利要求7的方法,其中所述涂料组合物包含至少90 wt%的所述至少一种溶剂。
12.权利要求7的方法,其中所述基材为玻璃基材,且所述加热步骤进一步包括将所述涂料组合物加热至400℃到750℃的温度。
13.权利要求7的方法,其中所述施涂步骤进一步包括将所述至少一层涂料组合物通过辊涂施涂到光学透明基材的至少一部分上。
14.权利要求7的方法,其中所述涂料组合物的pH值为0.5到1.7。
15.制备涂料组合物的方法,包括:
混合至少一种烷氧基硅烷、至少一种催化剂和至少一种在大气压下具有至少185℃的沸点的溶剂以形成涂料组合物,其中所述涂料组合物包含至少80 wt%的所述至少一种溶剂,其中调节所述涂料组合物的pH值到0至4.0。
16.权利要求15的方法,其中所述涂料组合物基本上不含在大气压下沸点低于125℃的低沸点溶剂。
17.权利要求15的方法,其中所述涂料组合物包含至少90 wt%的所述至少一种溶剂。
18.权利要求15的方法,其中调节所述涂料组合物的pH值到0.5至1.7。
CN201280026804.8A 2011-05-31 2012-05-14 用于光学元件的涂料组合物 Expired - Fee Related CN103619965B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/149281 2011-05-31
US13/149,281 US8864898B2 (en) 2011-05-31 2011-05-31 Coating formulations for optical elements
PCT/US2012/037734 WO2012166333A2 (en) 2011-05-31 2012-05-14 Coating formulations for optical elements

Publications (2)

Publication Number Publication Date
CN103619965A CN103619965A (zh) 2014-03-05
CN103619965B true CN103619965B (zh) 2017-02-08

Family

ID=47260167

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201280026804.8A Expired - Fee Related CN103619965B (zh) 2011-05-31 2012-05-14 用于光学元件的涂料组合物

Country Status (4)

Country Link
US (1) US8864898B2 (zh)
CN (1) CN103619965B (zh)
TW (1) TWI546349B (zh)
WO (1) WO2012166333A2 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140161980A1 (en) * 2012-12-10 2014-06-12 Corning Incorporated Methods and formulations for spray coating sol-gel thin films on substrates
CN103637449A (zh) * 2013-12-05 2014-03-19 吴江市社翊纺织有限公司 一种多功能保暖服装
CN105607158B (zh) * 2016-01-04 2018-07-06 重庆京东方光电科技有限公司 一种基板、基板制造方法、触摸屏和显示装置
US10329452B2 (en) 2016-06-21 2019-06-25 Honeywell International Inc. Materials and spin coating methods suitable for advanced planarization applications
JP6942314B2 (ja) * 2017-07-27 2021-09-29 東レ・ファインケミカル株式会社 シリコーン重合体組成物の製造方法
CN107474614B (zh) * 2017-08-04 2020-09-18 来奇偏光科技(中国)股份有限公司 一种抗反射膜的底漆配方及制备方法

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1255889A (zh) * 1997-04-10 2000-06-07 康宁股份有限公司 具有减反射涂层的光学制品、相应涂层材料和涂覆方法
CN1285837A (zh) * 1997-10-31 2001-02-28 联合讯号公司 用于生产多纳孔二氧化硅薄膜的醇基处理器
CN1417270A (zh) * 2001-09-12 2003-05-14 东洋合成工业株式会社 透明二氧化硅覆膜形成用涂覆溶液及透明二氧化硅覆膜的制造方法
CN1604943A (zh) * 2001-12-14 2005-04-06 旭化成株式会社 用于形成具有低折光指数薄膜的涂布组合物
CN1969023A (zh) * 2004-06-11 2007-05-23 东丽株式会社 硅氧烷系涂料、光学制品及硅氧烷系涂料的制备方法
CN101015045A (zh) * 2004-09-15 2007-08-08 霍尼韦尔国际公司 处理剂材料
TW200940608A (en) * 2007-11-06 2009-10-01 Braggone Oy Carbosilane polymer compositions for anti-reflective coatings
CN101675133A (zh) * 2007-05-08 2010-03-17 中央硝子株式会社 可手工涂布的溶胶凝胶膜形成用涂布液

Family Cites Families (922)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US35368A (en) 1862-05-27 Improvement in shutter-fastenings
US172896A (en) 1876-02-01 Improvement in hydraulic elevators
US35239A (en) 1862-05-13 Improved mode of securing chimneys to lamps
US58929A (en) 1866-10-16 Improved keeper for bolts
US106376A (en) 1870-08-16 Improvement in harrow and cultivator
US35447A (en) 1862-06-03 Coal oil lamp chimney
US31987A (en) 1861-04-09 Machinist s instrument foe determining geometrical lines
US677386A (en) 1900-08-10 1901-07-02 Chief Husker And Shredder Company Corn husker and shredder.
DE1017883B (de) 1954-07-08 1957-10-17 Fellows Gear Shaper Co Schalt- und Vorschubeinrichtung fuer Zahnradherstellungsmaschinen
US2783263A (en) 1954-11-22 1957-02-26 Dow Corning Halocarboxysilanes
US3294737A (en) 1963-12-23 1966-12-27 Gen Electric Organopolysiloxanes
US5270285A (en) 1965-02-28 1993-12-14 Dai Nippon Insatsu Kabushiki Kaisha Sheet for heat transference
US3615272A (en) 1968-11-04 1971-10-26 Dow Corning Condensed soluble hydrogensilsesquioxane resin
US3635529A (en) 1969-06-24 1972-01-18 Walter R Nass Motor vehicle wheel assembly
CA993709A (en) 1971-01-21 1976-07-27 Leo Roos Composite, mask-forming photohardenable elements
US3784378A (en) 1971-10-18 1974-01-08 Du Pont Double-exposure method for producing reverse images in photopolymers
US3884702A (en) 1972-12-14 1975-05-20 Unitika Ltd Photosensitive polyamide composition
US3929489A (en) 1973-09-14 1975-12-30 Eastman Kodak Co Lithographic plates having radiation sensitive elements developable with aqueous alcohol
US4043812A (en) 1973-11-19 1977-08-23 Xerox Corporation Electrostatographic imaging member and process using anthracene functional polymers
US3873361A (en) 1973-11-29 1975-03-25 Ibm Method of depositing thin film utilizing a lift-off mask
US3925077A (en) 1974-03-01 1975-12-09 Horizons Inc Photoresist for holography and laser recording with bleachout dyes
US4191571A (en) 1974-04-26 1980-03-04 Hitachi, Ltd. Method of pattern forming in a photosensitive composition having a reciprocity law failing property
US4018607A (en) 1974-05-03 1977-04-19 Eastman Kodak Company Crystalline organic pigment sensitizers for photoconductive layers
US4018606A (en) 1974-05-03 1977-04-19 Eastman Kodak Company Organic azo pigment sensitizers for photoconductive layers
US4053313A (en) 1975-06-03 1977-10-11 E. I. Du Pont De Nemours And Company Process for image reproduction using multilayer photosensitive solvent processable elements
US4052367A (en) 1975-10-14 1977-10-04 Eastman Kodak Company Radiation sensitive polymers of oxygen-substituted maleimides and elements containing same
US4048146A (en) 1975-10-14 1977-09-13 Eastman Kodak Company Radiation sensitive polymers of oxygen-substituted maleimides and elements containing same
JPS578279Y2 (zh) 1975-11-25 1982-02-17
US4019884A (en) 1976-01-22 1977-04-26 Corning Glass Works Method for providing porous broad-band antireflective surface layers on chemically-durable borosilicate glasses
JPS5738865Y2 (zh) 1977-02-07 1982-08-26
US4102683A (en) 1977-02-10 1978-07-25 Rca Corp. Nonreflecting photoresist process
JPS53124561U (zh) 1977-03-11 1978-10-03
US5324222A (en) 1977-03-17 1994-06-28 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic airfoils
US5336708A (en) 1977-03-17 1994-08-09 Applied Elastomerics, Inc. Gelatinous elastomer articles
US5508334A (en) 1977-03-17 1996-04-16 Applied Elastomerics, Inc. Thermoplastic elastomer gelatinous compositions and articles
US5334646B1 (en) 1977-03-17 1998-09-08 Applied Elastomerics Inc Thermoplastic elastomer gelatinous articles
US5153254A (en) 1977-03-17 1992-10-06 Applied Elastomerics, Inc. Reusable lint remover
US5239723A (en) 1977-03-17 1993-08-31 Applied Elastomerics, Inc. Gelatinous elastomer swabs
US4369284A (en) 1977-03-17 1983-01-18 Applied Elastomerics, Incorporated Thermoplastic elastomer gelatinous compositions
US5624294A (en) 1977-03-17 1997-04-29 Applied Elastomerics, Inc. Humdinger, gel spinner
US5475890A (en) 1977-03-17 1995-12-19 Applied Elastomerics, Inc. Gelatinous elastomer swabs
US4618213A (en) 1977-03-17 1986-10-21 Applied Elastomerics, Incorporated Gelatinous elastomeric optical lens, light pipe, comprising a specific block copolymer and an oil plasticizer
US5655947A (en) 1977-03-17 1997-08-12 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic gel airfoils
US5633286B1 (en) 1977-03-17 2000-10-10 Applied Elastomerics Inc Gelatinous elastomer articles
US5262468A (en) 1977-03-17 1993-11-16 Applied Elastomerics, Inc. Thermoplastic elastomer gelatinous compositions
DE2720559A1 (de) 1977-05-07 1978-11-09 Basf Ag Verbesserte photopolymerisierbare massen fuer die herstellung von druckplatten und reliefformen
JPS6058467B2 (ja) 1977-10-22 1985-12-20 株式会社リコー 電子写真用感光体
JPS56627Y2 (zh) 1978-03-08 1981-01-09
JPS583249Y2 (ja) 1978-04-20 1983-01-20 株式会社東芝 偏向装置
JPS56828Y2 (zh) 1978-08-08 1981-01-10
JPS5563335U (zh) 1978-10-23 1980-04-30
US4413088A (en) 1978-11-30 1983-11-01 General Electric Co. Silicone resin coating composition especially suited for primerless adhesion to cast acrylics
JPS6042426Y2 (ja) 1979-03-26 1985-12-26 三洋電機株式会社 スイツチ
JPS55165942U (zh) 1979-05-16 1980-11-28
JPS55165922A (en) 1979-06-14 1980-12-24 Daicel Chem Ind Ltd Production of thermosetting organopolysiloxane
US4299938A (en) 1979-06-19 1981-11-10 Ciba-Geigy Corporation Photopolymerizable and thermally polymerizable compositions
US4349609A (en) 1979-06-21 1982-09-14 Fujitsu Limited Electronic device having multilayer wiring structure
JPS5850417B2 (ja) 1979-07-31 1983-11-10 富士通株式会社 半導体装置の製造方法
US4257826A (en) 1979-10-11 1981-03-24 Texas Instruments Incorporated Photoresist masking in manufacture of semiconductor device
JPS56129261A (en) 1980-03-17 1981-10-09 Hitachi Ltd Thin film-forming coating liquid composition
JPS6116113Y2 (zh) 1980-03-21 1986-05-19
JPS599798Y2 (ja) 1980-04-14 1984-03-28 堅二 水野 切削屑の切砕装置における切削屑の送り込み装置
US4290896A (en) 1980-05-27 1981-09-22 Dow Corning Corporation Dewatering fine coal slurries using organopolysiloxanes
US4935583A (en) 1980-05-30 1990-06-19 Kyle James C Insulated conductor with ceramic-connected elements
US4483107A (en) 1980-06-17 1984-11-20 Konishiroku Photo Industry Co., Ltd. Polishing method for electrophotographic photoconductive member
DE3173441D1 (en) 1980-08-26 1986-02-20 Japan Synthetic Rubber Co Ltd Ladder-like lower alkylpolysilsesquioxanes and process for their preparation
JPS6227417Y2 (zh) 1980-09-03 1987-07-14
JPS5760330A (en) 1980-09-27 1982-04-12 Fujitsu Ltd Resin composition
JPS6120024Y2 (zh) 1980-10-21 1986-06-17
JPS5783563U (zh) 1980-11-05 1982-05-24
DE3048369C2 (de) 1980-12-22 1983-01-05 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V., 8000 München Abrasiv wirkende Mittel und deren Verwendung
JPS57112047U (zh) 1980-12-27 1982-07-10
US4515828A (en) 1981-01-02 1985-05-07 International Business Machines Corporation Planarization method
JPS5821734Y2 (ja) 1981-01-22 1983-05-09 株式会社東芝 記録装置
US4423135A (en) 1981-01-28 1983-12-27 E. I. Du Pont De Nemours & Co. Preparation of photosensitive block copolymer elements
JPS6034969Y2 (ja) 1981-01-30 1985-10-18 株式会社東芝 調理器
US4413052A (en) 1981-02-04 1983-11-01 Ciba-Geigy Corporation Photopolymerization process employing compounds containing acryloyl group and anthryl group
JPS57131250U (zh) 1981-02-07 1982-08-16
US4419437A (en) 1981-02-11 1983-12-06 Eastman Kodak Company Image-forming compositions and elements containing ionic polyester dispersing agents
US4312970A (en) 1981-02-20 1982-01-26 Dow Corning Corporation Silazane polymers from {R'3 Si}2 NH and organochlorosilanes
JPS57141641U (zh) 1981-03-02 1982-09-04
JPS57141642U (zh) 1981-03-02 1982-09-04
US4362809A (en) 1981-03-30 1982-12-07 Hewlett-Packard Company Multilayer photoresist process utilizing an absorbant dye
JPS57168246U (zh) 1981-04-17 1982-10-23
JPS57168247U (zh) 1981-04-17 1982-10-23
JPH0350459Y2 (zh) 1981-04-29 1991-10-28
JPS635337Y2 (zh) 1981-05-06 1988-02-13
US4348471A (en) 1981-06-15 1982-09-07 Polychrome Corporation Positive acting composition yielding pre-development high visibility image after radiation exposure comprising acid free novolak, diazo oxide and acid sensitive dyestuff
JPS582809U (ja) 1981-06-29 1983-01-10 日立電線株式会社 オ−デイオ用コ−ド
JPS5810173U (ja) 1981-07-10 1983-01-22 三洋電機株式会社 販売装置
DE3278567D1 (en) 1981-10-03 1988-07-07 Japan Synthetic Rubber Co Ltd Solvent-soluble organopolysilsesquioxanes, processes for producing the same, and compositions and semiconductor devices using the same
JPS5866335U (ja) 1981-10-30 1983-05-06 松下電器産業株式会社 赤外線焦電素子
US4783347A (en) 1981-12-01 1988-11-08 General Electric Company Method for primerless coating of plastics
US4442197A (en) 1982-01-11 1984-04-10 General Electric Company Photocurable compositions
JPS58171416A (ja) 1982-04-02 1983-10-08 Hitachi Ltd 耐熱性重合体
JPS58174480U (ja) 1982-05-19 1983-11-21 シャープ株式会社 商品パツケ−ジの構造
US5670295A (en) 1982-07-30 1997-09-23 Namba; Kenryo Optical recording medium
JPS5926049U (ja) 1982-08-11 1984-02-17 デルタ工業株式会社 自動車用シ−トポケツトの構造
DE3231147A1 (de) 1982-08-21 1984-02-23 Basf Ag, 6700 Ludwigshafen Positiv arbeitendes verfahren zur herstellung von reliefbildern oder resistmustern
US6194121B1 (en) 1982-09-25 2001-02-27 Tdk Corp. Optical recording medium
JPH0612452B2 (ja) 1982-09-30 1994-02-16 ブリュ−ワ−・サイエンス・インコ−ポレイテッド 集積回路素子の製造方法
US4910122A (en) 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
US4822718A (en) 1982-09-30 1989-04-18 Brewer Science, Inc. Light absorbing coating
US4434127A (en) 1982-12-09 1984-02-28 Dow Corning Corporation Heat curable polydiorganosiloxane compositions having enhanced release upon cure
JPS59109565A (ja) 1982-12-16 1984-06-25 Fujitsu Ltd コ−テイング樹脂溶液およびその製造方法
JPS59105036U (ja) 1982-12-29 1984-07-14 いすゞ自動車株式会社 ウエストゲ−トバルブ装置
US4599320A (en) 1982-12-30 1986-07-08 Alcan International Limited Refractory lining material for electrolytic reduction cell for aluminum production and method of making the same
JPS59112834U (ja) 1983-01-14 1984-07-30 カルソニックカンセイ株式会社 熱交換器用のチユ−ブ供給装置
JPS59109565U (ja) 1983-01-14 1984-07-24 トヨタ自動車株式会社 自動車用樹脂製リヤバンパの取付構造
JPS59112487U (ja) 1983-01-19 1984-07-30 村田 修弘 電気のコンセントからの抜き取り装置を附属させた差し込みプラグ
JPS59189126U (ja) 1983-02-15 1984-12-15 日立電線株式会社 光フアイバを用いた温度センサ
JPS59132423U (ja) 1983-02-26 1984-09-05 本田技研工業株式会社 車両の終減速装置におけるブリ−ザ
CA1255952A (en) 1983-03-04 1989-06-20 Akihiro Furuta Positive type photoresist composition
JPS59190211U (ja) 1983-06-06 1984-12-17 オリンパス光学工業株式会社 バル−ン鉗子
JPS59226346A (ja) 1983-06-07 1984-12-19 Fuotopori Ouka Kk プリント回路の製造方法
KR890003903B1 (ko) 1983-06-29 1989-10-10 가부시끼가이샤 히다찌세이사꾸쇼 패턴 형성 방법
US4535026A (en) 1983-06-29 1985-08-13 The United States Of America As Represented By The United States Department Of Energy Antireflective graded index silica coating, method for making
US4430153A (en) 1983-06-30 1984-02-07 International Business Machines Corporation Method of forming an RIE etch barrier by in situ conversion of a silicon containing alkyl polyamide/polyimide
US4617252A (en) 1983-07-01 1986-10-14 Philip A. Hunt Chemical Corporation Antireflective coatings for use in the manufacture of semi-conductor devices, methods and solutions for making such coatings, and the method for using such coatings to absorb light in ultraviolet photolithography processes
DE3324795A1 (de) 1983-07-09 1985-01-17 Merck Patent Gmbh, 6100 Darmstadt Negativ arbeitende fotoresistzusammensetzungen mit strahlungsabsorbierenden zusaetzen
JPS6076528U (ja) 1983-11-02 1985-05-29 ダイハツ工業株式会社 自動車におけるメ−タケ−ス
JPS6086017U (ja) 1983-11-15 1985-06-13 株式会社明電舎 移動用開閉装置
DE3344202A1 (de) 1983-12-07 1985-06-20 Merck Patent Gmbh, 6100 Darmstadt Positiv-fotoresistzusammensetzungen
JPS60101803U (ja) 1983-12-16 1985-07-11 日晴金属株式会社 パラボラアンテナ自在取付装置
GB8333901D0 (en) 1983-12-20 1984-02-01 Minnesota Mining & Mfg Radiationsensitive compositions
JPS60116132U (ja) 1984-01-10 1985-08-06 シャープ株式会社 風向変更装置
DE3561155D1 (zh) 1984-02-10 1988-01-21 Ciba-Geigy Ag
DE3565013D1 (en) 1984-02-10 1988-10-20 Ciba Geigy Ag Process for the preparation of a protection layer or a relief pattern
JPS60177029A (ja) 1984-02-21 1985-09-11 Toray Silicone Co Ltd オルガノポリシロキサン組成物の硬化方法
US4831188A (en) 1984-02-29 1989-05-16 Bowling Green State University Perester photoinitiators
US4752649A (en) 1984-02-29 1988-06-21 Bowling Green State University Perester photoinitiators
JPS60152552U (ja) 1984-03-22 1985-10-11 ナイルス部品株式会社 オ−トオンリセツト回路を備えた自動車用ライト点消灯制御装置
JPH0656560B2 (ja) 1984-04-09 1994-07-27 日本電気株式会社 音声認識応答装置
US4702990A (en) 1984-05-14 1987-10-27 Nippon Telegraph And Telephone Corporation Photosensitive resin composition and process for forming photo-resist pattern using the same
JPS60254035A (ja) 1984-05-30 1985-12-14 Fujitsu Ltd パタ−ン形成方法
KR900002364B1 (ko) 1984-05-30 1990-04-12 후지쓰가부시끼가이샤 패턴 형성재의 제조방법
JPS60254034A (ja) 1984-05-30 1985-12-14 Fujitsu Ltd パタ−ン形成方法
JPS60195148U (ja) 1984-06-05 1985-12-26 三菱重工業株式会社 傾斜ベルト式薄板連続鋳造装置
GB8414867D0 (en) 1984-06-11 1984-07-18 Minnesota Mining & Mfg Pre-press proofing system
US4767571A (en) 1984-06-27 1988-08-30 Fuji Photo Film Co., Ltd. Infrared absorbent
US4763966A (en) 1984-07-16 1988-08-16 Fuji Photo Film Co., Ltd. Infrared absorbent
US4705739A (en) 1984-07-16 1987-11-10 Minnesota Mining And Manufacturing Company Graphic arts imaging constructions using vapor-deposited colorant and metalloid layers with overlying photosensitive resist layer
US5674648A (en) 1984-08-06 1997-10-07 Brewer Science, Inc. Anti-reflective coating
US4657965A (en) 1984-10-22 1987-04-14 Toshiba Silicone Co., Ltd. Silicone elastomer composition
US4594309A (en) 1984-10-31 1986-06-10 Allied Corporation α,β Diketone containing polymers as positive photoresist compositions
JPS61224330A (ja) 1985-03-29 1986-10-06 Fujitsu Ltd パツシベ−シヨン膜の形成方法
US4670299A (en) 1984-11-01 1987-06-02 Fujitsu Limited Preparation of lower alkyl polysilsesquioxane and formation of insulating layer of silylated polymer on electronic circuit board
US4587138A (en) 1984-11-09 1986-05-06 Intel Corporation MOS rear end processing
US4620986A (en) 1984-11-09 1986-11-04 Intel Corporation MOS rear end processing
US4705729A (en) 1984-11-19 1987-11-10 Hewlett-Packard Company Method for photochemically enhancing resolution in photolithography processes
JPH0114596Y2 (zh) 1984-12-05 1989-04-28
IT1177373B (it) 1984-12-06 1987-08-26 Bioresearch Spa Sali della 5'-metiltio-5'-deossiadenosina con acidi solfonici a lunga catena alchilica
US4708925A (en) 1984-12-11 1987-11-24 Minnesota Mining And Manufacturing Company Photosolubilizable compositions containing novolac phenolic resin
JPS61108628U (zh) 1984-12-24 1986-07-10
JPS61127732U (zh) 1985-01-31 1986-08-11
JPS61274497A (ja) 1985-05-29 1986-12-04 Furuno Electric Co Ltd 送受波器
EP0215069B1 (en) 1985-03-07 1991-04-10 Hughes Aircraft Company Polysiloxane resist for ion beam and electron beam lithography
FR2579552B1 (fr) 1985-03-27 1990-06-08 Honda Motor Co Ltd Dispositif de direction des roues avant et arriere pour vehicule
JPS61221232A (ja) 1985-03-28 1986-10-01 Fujitsu Ltd シリル化ポリオルガノシルセスキオキサンの製法
US4745169A (en) 1985-05-10 1988-05-17 Hitachi, Ltd. Alkali-soluble siloxane polymer, silmethylene polymer, and polyorganosilsesquioxane polymer
EP0204963B1 (en) 1985-05-10 1993-01-13 Hitachi, Ltd. Use of Alkali-Soluble Polyorganosilsesquioxane Polymers in a resist for preparing electronics parts.
JPS61256347A (ja) 1985-05-10 1986-11-13 Hitachi Ltd アルカリ可溶性シロキサン重合体
US4663414A (en) 1985-05-14 1987-05-05 Stauffer Chemical Company Phospho-boro-silanol interlayer dielectric films and preparation
JPS61260242A (ja) 1985-05-15 1986-11-18 Fujitsu Ltd レジストパタ−ンの形成方法
JPS6289907A (ja) 1985-06-19 1987-04-24 Sumitomo Bakelite Co Ltd 偏光膜一体型透明導電性フイルム
US4595599A (en) 1985-06-21 1986-06-17 Advanced Chemical Technologies Company Luminescent silane
US4814578A (en) 1985-06-24 1989-03-21 The United States Of America As Represented By The Department Of Energy Planarization of metal films for multilevel interconnects
US4609614A (en) 1985-06-24 1986-09-02 Rca Corporation Process of using absorptive layer in optical lithography with overlying photoresist layer to form relief pattern on substrate
US4674176A (en) 1985-06-24 1987-06-23 The United States Of America As Represented By The United States Department Of Energy Planarization of metal films for multilevel interconnects by pulsed laser heating
US4681795A (en) 1985-06-24 1987-07-21 The United States Of America As Represented By The Department Of Energy Planarization of metal films for multilevel interconnects
US4816049A (en) 1985-07-12 1989-03-28 Hoya Corporation Process of surface treating laser glass
JPS6243424A (ja) 1985-08-20 1987-02-25 Shin Etsu Chem Co Ltd シルセスキオキサン乳濁液の製造方法
US5034189A (en) 1985-08-27 1991-07-23 The Regents Of The University Of California Fluorescent probe for rapid measurement of analyte concentration
EP0217137B1 (en) 1985-08-29 1992-04-08 E.I. Du Pont De Nemours And Company Photopolymerizable composition of acrylic copolymer containing dicyclopentenyl acrylate or methacrylate
US4786569A (en) 1985-09-04 1988-11-22 Ciba-Geigy Corporation Adhesively bonded photostructurable polyimide film
US4923638A (en) 1985-09-30 1990-05-08 Fuji Photo Film Co., Ltd. Near infrared absorbing composition
JPS6267561U (zh) 1985-10-18 1987-04-27
US4723978A (en) 1985-10-31 1988-02-09 International Business Machines Corporation Method for a plasma-treated polysiloxane coating
ES2060579T3 (es) 1985-12-09 1994-12-01 Nippon Paint Co Ltd Material de impresion a base de resina fotosensible.
JPS6296942U (zh) 1985-12-10 1987-06-20
JP2619358B2 (ja) 1986-01-08 1997-06-11 株式会社日立製作所 感光性樹脂組成物
US4678835A (en) 1986-01-30 1987-07-07 Ppg Industries, Inc. Coating composition containing an ungelled reaction product as a curative
US4693959A (en) 1986-03-07 1987-09-15 E.I. Du Pont De Nemours And Company Adhesion promotion in photoresist lamination and processing
JPS62215944A (ja) 1986-03-18 1987-09-22 Fujitsu Ltd 感光性耐熱樹脂組成物及び絶縁層形成方法
JPH0344746Y2 (zh) 1986-04-04 1991-09-20
JPS62276543A (ja) 1986-05-26 1987-12-01 Japan Synthetic Rubber Co Ltd パタ−ン形成方法
US4676867A (en) 1986-06-06 1987-06-30 Rockwell International Corporation Planarization process for double metal MOS using spin-on glass as a sacrificial layer
JPS62299965A (ja) 1986-06-20 1987-12-26 Fujitsu Ltd ネガ形レジスト組成物
EP0255303B1 (en) 1986-07-25 1989-10-11 Oki Electric Industry Company, Limited Negative resist material, method for its manufacture and method for using it
JPS63139303A (ja) 1986-08-05 1988-06-11 Fuji Photo Film Co Ltd 赤外線吸収性組成物
US4806504A (en) 1986-09-11 1989-02-21 Fairchild Semiconductor Corporation Planarization method
US4732858A (en) 1986-09-17 1988-03-22 Brewer Science, Inc. Adhesion promoting product and process for treating an integrated circuit substrate
US4950583A (en) 1986-09-17 1990-08-21 Brewer Science Inc. Adhesion promoting product and process for treating an integrated circuit substrate therewith
US4731264A (en) 1986-10-03 1988-03-15 Ppg Industries, Inc. Sol-gel compositions containing silane and alumina
US4863827A (en) 1986-10-20 1989-09-05 American Hoechst Corporation Postive working multi-level photoresist
US6033283A (en) 1986-10-21 2000-03-07 Applied Elastomerics, Inc. Humdinger, string spinning toy
DE3635821A1 (de) 1986-10-22 1988-04-28 Bayer Ag Mikrokapseln mit verbesserten waenden
JPS6372745U (zh) 1986-10-24 1988-05-16
US4756977A (en) 1986-12-03 1988-07-12 Dow Corning Corporation Multilayer ceramics from hydrogen silsesquioxane
US4898907A (en) 1986-12-03 1990-02-06 Dow Corning Corporation Compositions of platinum and rhodium catalyst in combination with hydrogen silsesquioxane resin
US4822697A (en) 1986-12-03 1989-04-18 Dow Corning Corporation Platinum and rhodium catalysis of low temperature formation multilayer ceramics
US4753855A (en) 1986-12-04 1988-06-28 Dow Corning Corporation Multilayer ceramic coatings from metal oxides for protection of electronic devices
US4911992A (en) 1986-12-04 1990-03-27 Dow Corning Corporation Platinum or rhodium catalyzed multilayer ceramic coatings from hydrogen silsesquioxane resin and metal oxides
US5008320A (en) 1986-12-04 1991-04-16 Dow Corning Corporation Platinum or rhodium catalyzed multilayer ceramic coatings from hydrogen silsesquioxane resin and metal oxides
US4808653A (en) 1986-12-04 1989-02-28 Dow Corning Corporation Coating composition containing hydrogen silsesquioxane resin and other metal oxide precursors
US4746693A (en) 1986-12-12 1988-05-24 Rca Corporation Polyalkylsilsesquioxane coating composition
JPH0337965Y2 (zh) 1986-12-26 1991-08-12
JPH0819381B2 (ja) 1987-01-06 1996-02-28 日本合成ゴム株式会社 コーティング用組成物
US5328976A (en) 1987-01-09 1994-07-12 Allied-Signal Inc. Carbon-containing black glass monoliths
JPS63117074U (zh) 1987-01-23 1988-07-28
JPS63118739U (zh) 1987-01-26 1988-08-01
JPH0432217Y2 (zh) 1987-01-29 1992-08-03
JPS63207829A (ja) 1987-02-23 1988-08-29 Hitachi Chem Co Ltd 難燃性フエノ−ル樹脂積層板の製造方法
JPS63137972U (zh) 1987-03-03 1988-09-12
JPS63137437U (zh) 1987-03-03 1988-09-09
US5389496A (en) 1987-03-06 1995-02-14 Rohm And Haas Company Processes and compositions for electroless metallization
JPS63218948A (ja) 1987-03-06 1988-09-12 Nippon Telegr & Teleph Corp <Ntt> レジスト材料
US5077085A (en) 1987-03-06 1991-12-31 Schnur Joel M High resolution metal patterning of ultra-thin films on solid substrates
JPH0654391B2 (ja) 1987-03-06 1994-07-20 住友化学工業株式会社 電子線又はx線用ポジ型レジスト組成物
US5079600A (en) 1987-03-06 1992-01-07 Schnur Joel M High resolution patterning on solid substrates
JPS63149636U (zh) 1987-03-20 1988-10-03
DE3810247A1 (de) 1987-03-26 1988-10-06 Toshiba Kawasaki Kk Lichtempfindliche beschichtungsmasse
JPS63238133A (ja) 1987-03-26 1988-10-04 Japan Synthetic Rubber Co Ltd 耐熱性オレフイン系共重合体の製造方法
JPS63152130U (zh) 1987-03-27 1988-10-06
US4782009A (en) 1987-04-03 1988-11-01 General Electric Company Method of coating and imaging photopatternable silicone polyamic acid
US4855199A (en) 1987-04-03 1989-08-08 General Electric Company Photopatterned product of silicone polyamic acid on a transparent substrate
JPH048048Y2 (zh) 1987-04-30 1992-03-02
JPS63287823A (ja) 1987-05-20 1988-11-24 Sanyo Electric Co Ltd 液晶表示器
JPH0819285B2 (ja) 1987-05-21 1996-02-28 日本合成ゴム株式会社 熱可塑性エラストマ−組成物
JPS63199251U (zh) 1987-06-05 1988-12-22
DE3719844A1 (de) 1987-06-13 1988-12-29 Basf Ag Durch photopolymersisation vernetzbares gemisch
JPS63312643A (ja) 1987-06-16 1988-12-21 Mitsubishi Electric Corp 半導体装置の製造方法
US4839274A (en) 1987-06-30 1989-06-13 Eastman Kodak Company Novel polymethine dyes and UV absorbers containing a triarylborylisocyano group and imaging compositions containing these dyes
EP0301641A1 (en) 1987-07-23 1989-02-01 Koninklijke Philips Electronics N.V. Master disc and method of manufacturing a matrix
US4973510A (en) 1987-09-02 1990-11-27 Teijin Limited Coated sheet material and process for producing same
US5024923A (en) 1987-09-09 1991-06-18 Fuji Photo Film Co., Ltd. Infrared absorbent compositions
US4962996A (en) 1987-09-11 1990-10-16 Raychem Corporation Protected fiber optic waveguide
DE3735852A1 (de) 1987-10-23 1989-05-03 Hoechst Ag Positiv arbeitendes lichtempfindliches gemisch, enthaltend einen farbstoff, und daraus hergestelltes positiv arbeitendes lichtempfindliches aufzeichnungsmaterial
AU611106B2 (en) 1987-10-24 1991-06-06 Ito Optical Industrial Co. Ltd. Optical antireflection treatment
US4925772A (en) 1987-11-26 1990-05-15 Siemens Aktiengesellschaft Anti-radiation covering for electronic components
GB8729510D0 (en) 1987-12-18 1988-02-03 Ucb Sa Photosensitive compositions containing phenolic resins & diazoquinone compounds
US5272026A (en) 1987-12-18 1993-12-21 Ucb S.A. Negative image process utilizing photosensitive compositions containing aromatic fused polycyclic sulfonic acid and partial ester or phenolic resin with diazoquinone sulfonic acid or diazoquinone carboxylic acid, and associated imaged article
US4849296A (en) 1987-12-28 1989-07-18 Dow Corning Corporation Multilayer ceramic coatings from metal oxides and hydrogen silsesquioxane resin ceramified in ammonia
US4847162A (en) 1987-12-28 1989-07-11 Dow Corning Corporation Multilayer ceramics coatings from the ceramification of hydrogen silsequioxane resin in the presence of ammonia
JPH01185367A (ja) 1988-01-18 1989-07-24 Toshiba Silicone Co Ltd 表面処理されたポリメチルシルセスキオキサン粉末の製造方法
JPH021778A (ja) 1988-02-02 1990-01-08 Hitachi Chem Co Ltd 半導体の表面保護又は層間絶縁用酸化物被膜形成用塗布液および酸化物被膜の製造法
US4926383A (en) 1988-02-02 1990-05-15 National Semiconductor Corporation BiCMOS write-recovery circuit
US6040251A (en) 1988-03-14 2000-03-21 Nextec Applications Inc. Garments of barrier webs
US5194364A (en) 1988-03-16 1993-03-16 Fujitsu Limited Process for formation of resist patterns
US5391463A (en) 1988-04-14 1995-02-21 The United States Of America As Represented By The Secretary Of The Navy Surface modification to create regions resistant to adsorption of biomolecules
US4942083A (en) 1988-05-16 1990-07-17 Smith Novis W Jr Abrasion resistant coatings
EP0345219B1 (de) 1988-05-31 1994-02-02 Ciba-Geigy Ag Wässrige Dispersion von 2-(2'-Hydroxyphenyl-)benzotriazolen
US4921778A (en) 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US4943511A (en) 1988-08-05 1990-07-24 Morton Thiokol, Inc. High sensitivity mid and deep UV resist
US5403680A (en) 1988-08-30 1995-04-04 Osaka Gas Company, Ltd. Photolithographic and electron beam lithographic fabrication of micron and submicron three-dimensional arrays of electronically conductive polymers
US5173368A (en) 1988-09-14 1992-12-22 Pilkington Visioncare Holdings, Inc. Solution-applied antireflective coatings
AU4349489A (en) 1988-09-28 1990-04-18 Brewer Science, Inc. Multifunctional photolithographic compositions
US4954414A (en) 1988-11-08 1990-09-04 The Mead Corporation Photosensitive composition containing a transition metal coordination complex cation and a borate anion and photosensitive materials employing the same
US5199979A (en) 1988-11-25 1993-04-06 Ppg Industries, Inc. UV resistant, abrasion resistant coatings
US4981530A (en) 1988-11-28 1991-01-01 International Business Machines Corporation Planarizing ladder-type silsesquioxane polymer insulation layer
US5455145A (en) 1988-12-24 1995-10-03 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing double layer resist pattern and double layer resist structure
US5300402A (en) 1988-12-30 1994-04-05 International Business Machines Corporation Composition for photo imaging
US5747223A (en) 1988-12-30 1998-05-05 International Business Machines Corporation Composition for photoimaging
US6180317B1 (en) 1988-12-30 2001-01-30 International Business Machines Corporation Composition for photoimaging
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
US4940651A (en) 1988-12-30 1990-07-10 International Business Machines Corporation Method for patterning cationic curable photoresist
US5026624A (en) 1989-03-03 1991-06-25 International Business Machines Corporation Composition for photo imaging
DE68908872T2 (de) 1989-02-03 1994-02-10 Mitsubishi Metal Corp Verfahren zum Ziehen von Einkristallen.
US6210862B1 (en) 1989-03-03 2001-04-03 International Business Machines Corporation Composition for photoimaging
US5278010A (en) 1989-03-03 1994-01-11 International Business Machines Corporation Composition for photo imaging
US4885262A (en) 1989-03-08 1989-12-05 Intel Corporation Chemical modification of spin-on glass for improved performance in IC fabrication
EP0388343B1 (en) 1989-03-14 1996-07-17 International Business Machines Corporation Chemically amplified photoresist
US5339197A (en) 1989-03-31 1994-08-16 Yen Yung Tsai Optical pellicle with controlled transmission peaking
US5250224A (en) 1989-05-16 1993-10-05 J. M. Huber Corporation Foamed products containing endothermic blowing agents and processes
US5302455A (en) 1989-05-16 1994-04-12 J. M. Huber Corporation Endothermic blowing agents compositions and applications
JPH02145511U (zh) 1989-05-16 1990-12-11
US5252618A (en) 1989-05-16 1993-10-12 J. M. Huber Corporation Endothermic blowing agents for strengthening weld lines in molded thermoplastic resins and products
US5009810A (en) 1989-05-16 1991-04-23 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5106534A (en) 1989-05-16 1992-04-21 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5009809A (en) 1989-05-16 1991-04-23 J. M. Huber Corporation High temperature endothermic blowing agents compositions and applications
US5317044A (en) 1989-05-16 1994-05-31 J. M. Huber Corporation Endothermic blowing agents for surface migration of components in foamed products, compositions and applications
US5137655A (en) 1989-05-16 1992-08-11 J. M. Huber Corporation High temperature endothermic blowing agents compositions and applications
US5128232A (en) 1989-05-22 1992-07-07 Shiply Company Inc. Photoresist composition with copolymer binder having a major proportion of phenolic units and a minor proportion of non-aromatic cyclic alcoholic units
US5013608A (en) 1989-07-07 1991-05-07 Swedlow, Inc. Highly tintable abrasion resistant coatings
US5102695A (en) 1989-07-07 1992-04-07 Swedlow, Inc. Highly tintable abrasion resistant coatings
US5045592A (en) 1989-07-28 1991-09-03 Dow Corning Corporation Metastable silane hydrolyzates
US4999397A (en) 1989-07-28 1991-03-12 Dow Corning Corporation Metastable silane hydrolyzates and process for their preparation
US5112728A (en) 1989-10-05 1992-05-12 Konica Corporation Silver halide photographic light-sensitive material
US5059512A (en) 1989-10-10 1991-10-22 International Business Machines Corporation Ultraviolet light sensitive photoinitiator compositions, use thereof and radiation sensitive compositions
EP0423446B1 (en) 1989-10-17 1998-03-04 Shipley Company Inc. Near UV photoresist
US5212046A (en) 1989-10-17 1993-05-18 Shipley Company Inc. Near UV photoresist
CA2027031A1 (en) 1989-10-18 1991-04-19 Loren A. Haluska Hermetic substrate coatings in an inert gas atmosphere
US5252340A (en) 1989-12-14 1993-10-12 Isolyser Company, Inc. Method of producing an absorbent composition
JP2718231B2 (ja) 1990-01-10 1998-02-25 三菱電機株式会社 高純度末端ヒドロキシフェニルラダーシロキサンプレポリマーの製造方法および高純度末端ヒドロキシフェニルラダーポリシロキサンの製造方法
US4973526A (en) 1990-02-15 1990-11-27 Dow Corning Corporation Method of forming ceramic coatings and resulting articles
US5043789A (en) 1990-03-15 1991-08-27 International Business Machines Corporation Planarizing silsesquioxane copolymer coating
DE69120125T2 (de) 1990-03-28 1996-12-12 Japan Synthetic Rubber Co Ltd Polysiloxancompositpolymerpartikel
US5104692A (en) 1990-04-20 1992-04-14 Pilkington Visioncare Holdings, Inc. Two-layer antireflective coating applied in solution
US5055372A (en) 1990-04-23 1991-10-08 The Mead Corporation Photohardenable composition containing borate salts and ketone initiators
US5884639A (en) 1996-03-08 1999-03-23 Applied Elastomerics, Inc. Crystal gels with improved properties
US5938499A (en) 1993-11-15 1999-08-17 Applied Elastomerics, Inc. Elastic gel toy
US6050871A (en) 1994-04-19 2000-04-18 Applied Elastomerics, Inc. Crystal gel airfoils with improved tear resistance and gel airfoils with profiles capable of exhibiting time delay recovery from deformation
US5962572A (en) 1994-04-19 1999-10-05 Applied Elastomerics, Inc. Oriented gel and oriented gel articles
US6552109B1 (en) 1994-04-19 2003-04-22 Applied Elastomerics, Inc. Gelatinous elastomer compositions and articles
US6333374B1 (en) 1990-05-21 2001-12-25 Applied Elastomerics, Inc. Fluffy, strong, solid elastic gels, articles and method of making same
US6148830A (en) 1994-04-19 2000-11-21 Applied Elastomerics, Inc. Tear resistant, multiblock copolymer gels and articles
US6117176A (en) 1993-11-15 2000-09-12 Applied Elastomerics, Inc. Elastic-crystal gel
US5760117A (en) 1990-05-21 1998-06-02 Applied Elastomerics, Inc. Gelatinous composition and articles
US5868597A (en) 1990-05-21 1999-02-09 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic gel airfoils
DE69101338T2 (de) 1990-05-25 1994-09-01 Matsushita Electric Ind Co Ltd Lichtempfindliche Materialien, die organische photoleitfähige Substanzen enthalten in einem polymeren Bindemittel, das aromatische Ringe umfasst, die mit OH-Gruppen und Bromatomen verbunden sind.
US5262201A (en) 1990-06-04 1993-11-16 Dow Corning Corporation Low temperature process for converting silica precursor coatings to ceramic silica coatings by exposure to ammonium hydroxide or an environment to which water vapor and ammonia vapor have been added
US5116637A (en) 1990-06-04 1992-05-26 Dow Corning Corporation Amine catalysts for the low temperature conversion of silica precursors to silica
US5059448A (en) 1990-06-18 1991-10-22 Dow Corning Corporation Rapid thermal process for obtaining silica coatings
JP3064337B2 (ja) 1990-06-21 2000-07-12 オリンパス光学工業株式会社 実像式変倍ファインダー光学系
US5126289A (en) 1990-07-20 1992-06-30 At&T Bell Laboratories Semiconductor lithography methods using an arc of organic material
US5100503A (en) 1990-09-14 1992-03-31 Ncr Corporation Silica-based anti-reflective planarizing layer
US5527872A (en) 1990-09-14 1996-06-18 At&T Global Information Solutions Company Electronic device with a spin-on glass dielectric layer
US5152834A (en) 1990-09-14 1992-10-06 Ncr Corporation Spin-on glass composition
US5472488A (en) 1990-09-14 1995-12-05 Hyundai Electronics America Coating solution for forming glassy layers
US5302198A (en) 1990-09-14 1994-04-12 Ncr Corporation Coating solution for forming glassy layers
US5340644A (en) 1990-10-05 1994-08-23 Hercules Incorporated Organosilicon compositions
US5140396A (en) 1990-10-10 1992-08-18 Polaroid Corporation Filter and solid state imager incorporating this filter
US5059500A (en) 1990-10-10 1991-10-22 Polaroid Corporation Process for forming a color filter
EP0482821B1 (en) 1990-10-16 1998-09-30 Mitsui Chemicals, Inc. Use of a highly light-transmitting dust protective film, process for preparation thereof and dust protective member
US5055376A (en) 1990-11-13 1991-10-08 Eastman Kodak Company Curable compositions containing onium salt photoinitiators which have a chromophore linked to the onium salt moiety through the 3-position and method of use
JP2991786B2 (ja) 1990-11-22 1999-12-20 三菱電機株式会社 シリコーン樹脂組成物
US5063267A (en) 1990-11-28 1991-11-05 Dow Corning Corporation Hydrogen silsesquioxane resin fractions and their use as coating materials
ATE127870T1 (de) 1990-12-13 1995-09-15 Ciba Geigy Ag Wässrige dispersion schwerlöslicher uv-absorber.
US5662109A (en) 1990-12-14 1997-09-02 Hutson; William H. Method and system for multi-dimensional imaging and analysis for early detection of diseased tissue
US5256510A (en) 1990-12-21 1993-10-26 Eastman Kodak Company Photoelectrographic imaging with near-infrared sensitizing dyes
US5219788A (en) 1991-02-25 1993-06-15 Ibm Corporation Bilayer metallization cap for photolithography
US5520855A (en) 1991-03-20 1996-05-28 Kabushiki Kaisha Toshiba Coating solution composition for forming glass gel thin film, color glass gel filter, and display device using the same
JPH05202483A (ja) 1991-04-25 1993-08-10 Shipley Co Inc 無電解金属化方法と組成物
US5648201A (en) 1991-04-25 1997-07-15 The United Sates Of America As Represented By The Secretary Of The Navy Efficient chemistry for selective modification and metallization of substrates
US5165955A (en) 1991-05-28 1992-11-24 Dow Corning Corporation Method of depositing a coating containing silicon and oxygen
US5166093A (en) 1991-07-31 1992-11-24 Micron Technology, Inc. Method to reduce the reflectivity of a semi-conductor metallic surface
JP3272002B2 (ja) 1991-09-02 2002-04-08 昭和電工株式会社 ポリオルガノシルセスキオキサンの製造方法
US5418136A (en) 1991-10-01 1995-05-23 Biostar, Inc. Devices for detection of an analyte based upon light interference
DE4132697A1 (de) 1991-10-01 1993-04-08 Wacker Chemie Gmbh Verfahren zur herstellung von organopolysiloxanharz
US5212218A (en) 1991-10-15 1993-05-18 A. B. Chance Company Hydrophobic, erodiable synthetic resin composition for electrical insulators
JP3162441B2 (ja) 1991-10-28 2001-04-25 三菱化学株式会社 高剛性プロピレン共重合体組成物
US5227334A (en) 1991-10-31 1993-07-13 Micron Technology, Inc. LPCVD process for depositing titanium nitride (tin) films and silicon substrates produced thereby
US6528235B2 (en) 1991-11-15 2003-03-04 Shipley Company, L.L.C. Antihalation compositions
US6773864B1 (en) 1991-11-15 2004-08-10 Shipley Company, L.L.C. Antihalation compositions
US6472128B2 (en) 1996-04-30 2002-10-29 Shipley Company, L.L.C. Antihalation compositions
US6165697A (en) 1991-11-15 2000-12-26 Shipley Company, L.L.C. Antihalation compositions
EP0580857B1 (en) 1992-02-05 1998-05-20 Toray Industries, Inc. Multilayer coated article and use therein of a primer coating
JP2694097B2 (ja) 1992-03-03 1997-12-24 インターナショナル・ビジネス・マシーンズ・コーポレイション 反射防止コーティング組成物
JP3257027B2 (ja) 1992-04-17 2002-02-18 ソニー株式会社 自己診断情報の記録機構を有する情報記録再生装置
US5457081A (en) 1992-05-15 1995-10-10 Dai Nippon Printing Co., Ltd. Thermal transfer image receiving sheet
JP2753921B2 (ja) 1992-06-04 1998-05-20 富士写真フイルム株式会社 ポジ型フオトレジスト組成物
JP3252446B2 (ja) 1992-06-25 2002-02-04 大同特殊鋼株式会社 熱間静水圧加圧成形用のカプセルおよび熱間静水圧加圧成形方法
US5576247A (en) 1992-07-31 1996-11-19 Matsushita Electric Industrial Co., Ltd. Thin layer forming method wherein hydrophobic molecular layers preventing a BPSG layer from absorbing moisture
US6794440B2 (en) 1994-04-19 2004-09-21 Applied Elastomerics, Inc. Tear resistant gelatinous elastomer compositions and articles for use as fishing bait
US6324703B1 (en) 1994-04-19 2001-12-04 Applied Elastomerics, Inc. Strong, soft, tear resistant insulating compositions and composites for extreme cold weather use
US6420475B1 (en) 1994-04-19 2002-07-16 Applied Elastomerics, Inc. Tear resistant elastic crystal gels gel composites and their uses
US6627275B1 (en) 1994-04-19 2003-09-30 Applied Elastomerics, Incorporated Tear resistant elastic crystal gels suitable for inflatable restraint cushions and other uses
US6909220B2 (en) 1994-04-19 2005-06-21 Applied Elastomerics, Inc. High strain tear resistant gels and gel composites for use as artificial muscle actuators
US6867253B1 (en) 1994-04-19 2005-03-15 Applied Elastomerics, Inc. Tear resistant, crystalline midblock copolymer gels and articles
JPH06333803A (ja) 1992-09-18 1994-12-02 Sharp Corp 投影型露光装置用フィルター
WO1994006870A1 (en) 1992-09-24 1994-03-31 Kansai Paint Co., Ltd. Finish coating composition and method of forming its coating film
US5432007A (en) 1992-10-06 1995-07-11 Shizu Naito Solvent-free organosiloxane composition and its use
US5873931A (en) 1992-10-06 1999-02-23 Minnesota Mining And Manufacturing Company Coating composition having anti-reflective and anti-fogging properties
JPH06140396A (ja) 1992-10-23 1994-05-20 Yamaha Corp 半導体装置とその製法
US5384357A (en) 1992-11-02 1995-01-24 General Electric Company Infrared radiation curable organopolysiloxane compositions
US5719249A (en) 1993-11-29 1998-02-17 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha Reactive silicon group-containing polyoxyalkylene-polysiloxane copolymer
US5395734A (en) 1992-11-30 1995-03-07 Minnesota Mining And Manufacturing Company Shoot and run printing materials
DE4241727A1 (de) 1992-12-10 1994-06-16 Wacker Chemie Gmbh In Wasser selbstdispergierende, Organopolysiloxan anhaltende Zusammensetzungen
US5449712A (en) 1993-01-13 1995-09-12 Thoro System Products, Inc. Organosilicon emulsions for rendering porous substrates water repellent
US5414069A (en) 1993-02-01 1995-05-09 Polaroid Corporation Electroluminescent polymers, processes for their use, and electroluminescent devices containing these polymers
US5302849A (en) 1993-03-01 1994-04-12 Motorola, Inc. Plastic and grid array semiconductor device and method for making the same
US5387480A (en) 1993-03-08 1995-02-07 Dow Corning Corporation High dielectric constant coatings
US5512418A (en) 1993-03-10 1996-04-30 E. I. Du Pont De Nemours And Company Infra-red sensitive aqueous wash-off photoimaging element
US6336859B2 (en) 1993-03-31 2002-01-08 Progressive Games, Inc. Method for progressive jackpot gaming
US5328975A (en) 1993-04-02 1994-07-12 Ppg Industries, Inc. Ultraviolet radiation absorbing coating
JP3007766B2 (ja) 1993-04-19 2000-02-07 松下精工株式会社 斜流ファン
US6156223A (en) 1993-04-26 2000-12-05 Armstrong World Industries, Inc. Xerogels and their preparation
JP3152544B2 (ja) 1993-06-24 2001-04-03 シャープ株式会社 スキャナ
FR2704766B1 (fr) 1993-05-06 1995-07-28 Salomon Sa Dispositif interface entre un ski et des éléments de fixation.
US5498748A (en) 1993-07-20 1996-03-12 Wako Pure Chemical Industries, Ltd. Anthracene derivatives
US5576359A (en) 1993-07-20 1996-11-19 Wako Pure Chemical Industries, Ltd. Deep ultraviolet absorbent composition
DE4331162A1 (de) 1993-09-14 1995-03-16 Bayer Ag Verfahren zur Herstellung von Cyaninfarbstoffen
JP3200257B2 (ja) 1993-09-13 2001-08-20 キヤノン株式会社 画像復号装置
US5320868A (en) 1993-09-13 1994-06-14 Dow Corning Corporation Method of forming SI-O containing coatings
US5441765A (en) 1993-09-22 1995-08-15 Dow Corning Corporation Method of forming Si-O containing coatings
US5382615A (en) 1993-10-01 1995-01-17 Eastman Chemical Company Modified polyethylene based hot-melt adhesives for use in packaging
US5467626A (en) 1993-10-01 1995-11-21 The Boeing Company Integral forming die system for superplastic metal forming
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
DE4338360A1 (de) 1993-11-10 1995-05-11 Inst Neue Mat Gemein Gmbh Verfahren zur Herstellung von funktionellen glasartigen Schichten
JP3272131B2 (ja) 1993-12-27 2002-04-08 マツダ株式会社 歯車変速機の噛合装置
JP3197135B2 (ja) 1994-02-02 2001-08-13 ポップリベット・ファスナー株式会社 スタッド固定具
US5840821A (en) 1994-03-11 1998-11-24 Kawasaki Steel Corporation Coating solution and method for preparing the coating solution, method for forming insulating films for semiconductor devices, and method for evaluating the coating solution
JP3026716B2 (ja) 1994-03-16 2000-03-27 株式会社日立製作所 立体型表示装置
EP0675410B1 (en) 1994-03-28 1999-08-04 Wako Pure Chemical Industries Ltd Resist composition for deep ultraviolet light
US6161555A (en) 1994-04-19 2000-12-19 Applied Elastomerics, Inc. Crystal gels useful as dental floss with improved high tear, high tensile, and resistance to high stress rupture properties
US5759625A (en) 1994-06-03 1998-06-02 E. I. Du Pont De Nemours And Company Fluoropolymer protectant layer for high temperature superconductor film and photo-definition thereof
US5494858A (en) 1994-06-07 1996-02-27 Texas Instruments Incorporated Method for forming porous composites as a low dielectric constant layer with varying porosity distribution electronics applications
US5468591A (en) 1994-06-14 1995-11-21 Eastman Kodak Company Barrier layer for laser ablative imaging
JP3209476B2 (ja) 1994-06-17 2001-09-17 フジコピアン株式会社 ファブリックインクリボン
US20020034630A1 (en) 1994-06-27 2002-03-21 Jean-Paul Cano Ophthalmic lens made of organic glass with a shockproof intermediate layer, and method for making same
FR2721720B1 (fr) 1994-06-27 1996-09-06 Essilor Int Lentille ophtalmique en verre organique à intercouche anti-chocs et son procédé de fabrication.
US5910021A (en) 1994-07-04 1999-06-08 Yamaha Corporation Manufacture of semiconductor device with fine pattens
US5858547A (en) 1994-07-06 1999-01-12 Alliedsignal, Inc. Novolac polymer planarization films for microelectronic structures
US5729563A (en) 1994-07-07 1998-03-17 Hewlett-Packard Company Method and apparatus for optically and thermally isolating surface emitting laser diodes
US5976666A (en) 1994-08-29 1999-11-02 Sri International Electromagnetic radiation absorbing devices and associated methods of manufacture and use
US5498468A (en) 1994-09-23 1996-03-12 Kimberly-Clark Corporation Fabrics composed of ribbon-like fibrous material and method to make the same
US5527562A (en) 1994-10-21 1996-06-18 Aluminum Company Of America Siloxane coatings for aluminum reflectors
US5449639A (en) 1994-10-24 1995-09-12 Taiwan Semiconductor Manufacturing Company Ltd. Disposable metal anti-reflection coating process used together with metal dry/wet etch
KR0129950B1 (ko) 1994-11-30 1998-04-03 김광호 반사방지막 조성물
FR2729307B1 (fr) 1995-01-18 1997-04-18 Seppic Sa Utilisation d'esters d'acides gras ethoxyles comme composants auto-emulsionnables notamment utiles pour la preparation de produits de traitement phytosanitaires ou de medicaments a usage veterinaire ou humain
US5679128A (en) 1995-01-31 1997-10-21 Latting; John Alvis Dry-bonded nonionic adjuvants
US5964917A (en) 1995-01-31 1999-10-12 Latting; John Alvis Free-flowing fertilizer compositions
JP3014456U (ja) 1995-02-07 1995-08-08 朋雄 安嶋 剥離して支払伝票にできる預金証書
EP0727711A3 (en) 1995-02-17 1997-04-09 Ocg Microelectronic Materials Photoresist compositions containing supercritical fluid fractionated polymeric resin binders
JP3436435B2 (ja) 1995-02-22 2003-08-11 東レ・ダウコーニング・シリコーン株式会社 紫外線硬化型シリコーン組成物の硬化方法
JP3045628U (ja) 1995-03-16 1998-02-13 慶治郎 尾形 靴底模様の模様駒及び、模様構成
US5580819A (en) 1995-03-22 1996-12-03 Ppg Industries, Inc. Coating composition, process for producing antireflective coatings, and coated articles
US5546017A (en) 1995-03-23 1996-08-13 Micro Linear Corporation Hot insertable active SCSI terminator
US5945249A (en) 1995-04-20 1999-08-31 Imation Corp. Laser absorbable photobleachable compositions
US5935758A (en) 1995-04-20 1999-08-10 Imation Corp. Laser induced film transfer system
GB9508031D0 (en) 1995-04-20 1995-06-07 Minnesota Mining & Mfg UV-absorbing media bleachable by IR-radiation
GB9617416D0 (en) 1996-08-20 1996-10-02 Minnesota Mining & Mfg Thermal bleaching of infrared dyes
US5747553A (en) 1995-04-26 1998-05-05 Reinforced Polymer Inc. Low pressure acrylic molding composition with fiber reinforcement
US6103779A (en) 1995-04-26 2000-08-15 Reinforced Polmers, Inc. Method of preparing molding compositions with fiber reinforcement and products obtained therefrom
US6607991B1 (en) 1995-05-08 2003-08-19 Electron Vision Corporation Method for curing spin-on dielectric films utilizing electron beam radiation
US5635240A (en) 1995-06-19 1997-06-03 Dow Corning Corporation Electronic coating materials using mixed polymers
US6150250A (en) 1995-07-05 2000-11-21 Yamaha Corporation Conductive layer forming method using etching mask with direction <200>
JP3512911B2 (ja) 1995-07-11 2004-03-31 富士写真フイルム株式会社 紫外線吸収剤前駆体化合物、それを含有する感光性樹脂組成物及び画像形成方法
JP3824334B2 (ja) 1995-08-07 2006-09-20 東京応化工業株式会社 シリカ系被膜形成用塗布液及び被膜形成方法
US5693691A (en) 1995-08-21 1997-12-02 Brewer Science, Inc. Thermosetting anti-reflective coatings compositions
US5948318A (en) 1995-09-11 1999-09-07 Chisso Corporation Liquid crystal composition and liquid crystal display device
WO1997010282A1 (en) 1995-09-12 1997-03-20 Gelest, Inc. Beta-substituted organosilsesquioxanes and use thereof
US6770726B1 (en) 1995-09-12 2004-08-03 Gelest, Inc. β-substituted organosilsesquioxane polymers
US5583195A (en) 1995-09-29 1996-12-10 General Electric Company Photocurable epoxy silicones functionalized with fluorescent or photosensitizing marker dyes
US5580606A (en) 1995-10-06 1996-12-03 Singapore Institute Of Standards Etc. Method for forming interference anti-reflective coatings by plasma surface modification
US5693701A (en) 1995-10-26 1997-12-02 Dow Corning Corporation Tamper-proof electronic coatings
JPH09120157A (ja) 1995-10-25 1997-05-06 Fuji Photo Film Co Ltd 湿し水不要感光性平版印刷版
US5663286A (en) 1995-11-09 1997-09-02 H.B. Fuller Licensing And Financing, Inc. Nonwoven web comprising water soluble polyamides and articles constructed therefrom
US5672243A (en) 1995-11-28 1997-09-30 Mosel Vitelic, Inc. Antireflection coating for highly reflective photolithographic layers comprising chromium oxide or chromium suboxide
TW376408B (en) 1995-12-01 1999-12-11 Nissan Chemical Ind Ltd Coating film having water repellency and low refractive index
JP3930591B2 (ja) 1995-12-22 2007-06-13 東陶機器株式会社 光触媒性親水性コーティング組成物、親水性被膜の形成方法および被覆物品
US5629437A (en) 1996-01-30 1997-05-13 Huls America Inc. Preparation and use of alkyleneoxysilane compositions
US5949518A (en) 1996-02-13 1999-09-07 Sola International, Inc. Color-neutral UV blocking coating for plastic lens
US5756257A (en) 1996-02-14 1998-05-26 Imation Corp. Color proofing article incorporating novel antihalation dye
JP3028852U (ja) 1996-03-08 1996-09-13 株式会社リボール 軽量石目調不燃タイル
JP3436843B2 (ja) 1996-04-25 2003-08-18 東京応化工業株式会社 リソグラフィー用下地材及びそれを用いたリソグラフィー用レジスト材料
US5994431A (en) 1996-05-03 1999-11-30 3M Innovative Properties Company Amide functional ultraviolet light absorbers for polyolefins
US6291586B2 (en) 1996-05-03 2001-09-18 3M Innovative Properties Company Amide functional ultraviolet light absorbers for polyurethanes and polyureas
JPH09306954A (ja) 1996-05-20 1997-11-28 Hitachi Ltd 半導体装置及びその実装方法並びに実装構造体
TW354392B (en) 1996-07-03 1999-03-11 Du Pont Photomask blanks
TW515926B (en) 1996-07-10 2003-01-01 Matsushita Electric Ind Co Ltd Liquid crystal alignment film and method for producing the same, and liquid crystal display apparatus using the same and method for producing the same
JP3222386B2 (ja) 1996-07-12 2001-10-29 信越化学工業株式会社 コーティング剤組成物及びそのコーティング組成物で処理してなる物品
US6040053A (en) 1996-07-19 2000-03-21 Minnesota Mining And Manufacturing Company Coating composition having anti-reflective and anti-fogging properties
JPH1060280A (ja) 1996-08-14 1998-03-03 Japan Synthetic Rubber Co Ltd 水系分散体
US6072018A (en) 1996-09-30 2000-06-06 Virginia Tech Intellectual Properties, Inc. High abrasion resistant coating material
DE19642419A1 (de) 1996-10-14 1998-04-16 Fraunhofer Ges Forschung Verfahren und Beschichtungszusammensetzung zur Herstellung einer Antireflexionsbeschichtung
AU4991097A (en) 1996-10-25 1998-05-22 Blue River International, L.L.C. Silicon coating compositions and uses thereof
US5767014A (en) 1996-10-28 1998-06-16 International Business Machines Corporation Integrated circuit and process for its manufacture
US6020410A (en) 1996-10-29 2000-02-01 Alliedsignal Inc. Stable solution of a silsesquioxane or siloxane resin and a silicone solvent
US5922299A (en) 1996-11-26 1999-07-13 Battelle Memorial Institute Mesoporous-silica films, fibers, and powders by evaporation
US5695551A (en) 1996-12-09 1997-12-09 Dow Corning Corporation Water repellent composition
JP3207774B2 (ja) 1996-12-11 2001-09-10 双福鋼器株式会社 入出庫指示装置
CN1070903C (zh) 1996-12-13 2001-09-12 松下电工株式会社 硅氧烷乳液涂料组合物及其制备方法
EP0851300B1 (en) 1996-12-24 2001-10-24 Fuji Photo Film Co., Ltd. Bottom anti-reflective coating material composition and method of forming resist pattern using the same
US5939236A (en) 1997-02-07 1999-08-17 Shipley Company, L.L.C. Antireflective coating compositions comprising photoacid generators
US6174631B1 (en) 1997-02-10 2001-01-16 E. I. Du Pont De Nemours And Company Attenuating phase shift photomasks
US6491840B1 (en) 2000-02-14 2002-12-10 The Procter & Gamble Company Polymer compositions having specified PH for improved dispensing and improved stability of wrinkle reducing compositions and methods of use
DE19707660A1 (de) 1997-02-26 1998-09-03 Heidelberger Druckmasch Ag Lichtvorhang
DE19710461A1 (de) 1997-03-13 1998-09-17 Wacker Chemie Gmbh Farbstoffreste aufweisende Organopolysiloxane
JP3415741B2 (ja) 1997-03-31 2003-06-09 東レ・ダウコーニング・シリコーン株式会社 電気絶縁性薄膜形成用組成物および電気絶縁性薄膜の形成方法
US5776559A (en) 1997-04-11 1998-07-07 Woolford; Esther Electric Christmas tree
US6503850B1 (en) 1997-04-17 2003-01-07 Alliedsignal Inc. Process for producing nanoporous dielectric films at high pH
US6218497B1 (en) 1997-04-21 2001-04-17 Alliedsignal Inc. Organohydridosiloxane resins with low organic content
US6143855A (en) 1997-04-21 2000-11-07 Alliedsignal Inc. Organohydridosiloxane resins with high organic content
US6048804A (en) 1997-04-29 2000-04-11 Alliedsignal Inc. Process for producing nanoporous silica thin films
EP0989170B1 (en) 1997-05-13 2002-10-02 Kirin Beer Kabushiki Kaisha Coating material for forming vitreous coating film, method of coating with the same, and coater
TW473653B (en) 1997-05-27 2002-01-21 Clariant Japan Kk Composition for anti-reflective film or photo absorption film and compound used therein
EP0881678A3 (en) 1997-05-28 2000-12-13 Texas Instruments Incorporated Improvements in or relating to porous dielectric structures
US5883011A (en) 1997-06-18 1999-03-16 Vlsi Technology, Inc. Method of removing an inorganic antireflective coating from a semiconductor substrate
US20010024685A1 (en) 1997-06-19 2001-09-27 Boulton Jonathan M. Method for forming a protective coating and substrates coated with the same
JP4012600B2 (ja) 1997-06-23 2007-11-21 富士通株式会社 酸感応性重合体、レジスト組成物、レジストパターン形成方法、および半導体装置の製造方法
US6448331B1 (en) 1997-07-15 2002-09-10 Asahi Kasei Kabushiki Kaisha Alkoxysilane/organic polymer composition for thin insulating film production and use thereof
JP3473887B2 (ja) 1997-07-16 2003-12-08 東京応化工業株式会社 反射防止膜形成用組成物及びそれを用いたレジストパターンの形成方法
US5962067A (en) 1997-09-09 1999-10-05 Lucent Technologies Inc. Method for coating an article with a ladder siloxane polymer and coated article
JP4053631B2 (ja) 1997-10-08 2008-02-27 Azエレクトロニックマテリアルズ株式会社 反射防止膜又は光吸収膜用組成物及びこれに用いる重合体
JPH11214658A (ja) 1997-10-23 1999-08-06 Texas Instr Inc <Ti> 集積回路誘電体の製法
US6090448A (en) 1997-10-31 2000-07-18 Alliedsignal Inc. Polyol-based precursors for producing nanoporous silica thin films
US5953627A (en) 1997-11-06 1999-09-14 International Business Machines Corporation Process for manufacture of integrated circuit device
US6025232A (en) 1997-11-12 2000-02-15 Micron Technology, Inc. Methods of forming field effect transistors and related field effect transistor constructions
US6090399A (en) 1997-12-11 2000-07-18 Rohm And Haas Company Controlled release composition incorporating metal oxide glass comprising biologically active compound
US6057239A (en) 1997-12-17 2000-05-02 Advanced Micro Devices, Inc. Dual damascene process using sacrificial spin-on materials
US6255671B1 (en) 1998-01-05 2001-07-03 International Business Machines Corporation Metal embedded passivation layer structure for microelectronic interconnect formation, customization and repair
US6190839B1 (en) 1998-01-15 2001-02-20 Shipley Company, L.L.C. High conformality antireflective coating compositions
US6042994A (en) 1998-01-20 2000-03-28 Alliedsignal Inc. Nanoporous silica dielectric films modified by electron beam exposure and having low dielectric constant and low water content
EP1049442B2 (de) 1998-01-22 2007-03-07 KETTENBACH GmbH &amp; CO. KG Unterfütterung für prothesen und verfahren zur herstellung
US6190955B1 (en) 1998-01-27 2001-02-20 International Business Machines Corporation Fabrication of trench capacitors using disposable hard mask
WO1999039829A1 (en) 1998-02-04 1999-08-12 Merck & Co., Inc. Virtual wells for use in high throughput screening assays
US6337089B1 (en) 1998-02-06 2002-01-08 Seiwa Kasei Company, Limited Microcapsule containing core material and method for producing the same
US5972616A (en) 1998-02-20 1999-10-26 The Board Of Trustees Of The University Of Arkansas TADG-15: an extracellular serine protease overexpressed in breast and ovarian carcinomas
US7022821B1 (en) 1998-02-20 2006-04-04 O'brien Timothy J Antibody kit for the detection of TADG-15 protein
US6503586B1 (en) 1998-02-25 2003-01-07 Arteva North America S.A.R.L. Title improved infrared absorbing polyester packaging polymer
JP3031325B2 (ja) 1998-03-04 2000-04-10 ダイキン工業株式会社 天井埋込式空気調和機
US6147407A (en) 1998-03-27 2000-11-14 Lucent Technologies Inc. Article comprising fluorinated amorphous carbon and process for fabricating article
JP3047883B2 (ja) 1998-03-17 2000-06-05 日本電気株式会社 テストモードを有する半導体装置の出力回路
US6218020B1 (en) 1999-01-07 2001-04-17 Alliedsignal Inc. Dielectric films from organohydridosiloxane resins with high organic content
US6962727B2 (en) 1998-03-20 2005-11-08 Honeywell International Inc. Organosiloxanes
US6177199B1 (en) 1999-01-07 2001-01-23 Alliedsignal Inc. Dielectric films from organohydridosiloxane resins with low organic content
US5985444A (en) 1998-04-03 1999-11-16 3M Innovative Properties Company Amide functional ultraviolet light absorbers for fluoropolymers
US6344284B1 (en) 1998-04-10 2002-02-05 Organic Display Technology Organic electroluminescent materials and devices made from such materials
US5986344A (en) 1998-04-14 1999-11-16 Advanced Micro Devices, Inc. Anti-reflective coating layer for semiconductor device
DE19817069A1 (de) 1998-04-17 1999-10-21 Clariant Gmbh Infrarotstrahlung reflektierende Farbmittel
CA2330689A1 (en) 1998-04-29 1999-11-04 Brewer Science, Inc. Fast-etching, thermosetting anti-reflective coatings derived from cellulosic binders
US6576408B2 (en) 1998-04-29 2003-06-10 Brewer Science, Inc. Thermosetting anti-reflective coatings comprising aryl urethanes of hydroxypropyl cellulose
TWI234787B (en) 1998-05-26 2005-06-21 Tokyo Ohka Kogyo Co Ltd Silica-based coating film on substrate and coating solution therefor
US6461970B1 (en) 1998-06-10 2002-10-08 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
US6022812A (en) 1998-07-07 2000-02-08 Alliedsignal Inc. Vapor deposition routes to nanoporous silica
WO2000003303A1 (fr) 1998-07-10 2000-01-20 Clariant International Ltd. Composition pour film empechant la reflexion de fond et nouveau colorant polymere utilise dans celle-ci
US6444584B1 (en) 1998-07-16 2002-09-03 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming composite silicon/dielectric/silicon stack layer
US6103456A (en) 1998-07-22 2000-08-15 Siemens Aktiengesellschaft Prevention of photoresist poisoning from dielectric antireflective coating in semiconductor fabrication
DE19834745A1 (de) 1998-08-01 2000-02-03 Agfa Gevaert Ag Strahlungsempfindliches Gemisch mit IR-absorbierenden, anionischen Cyaninfarbstoffen und damit hergestelltes Aufzeichnungsmaterial
GB9816922D0 (en) 1998-08-04 1998-09-30 Pilkington Plc Improvements in coating glass
US6335296B1 (en) 1998-08-06 2002-01-01 Alliedsignal Inc. Deposition of nanoporous silica films using a closed cup coater
US6217890B1 (en) 1998-08-25 2001-04-17 Susan Carol Paul Absorbent article which maintains or improves skin health
US6448464B1 (en) 1999-07-30 2002-09-10 Kimberly-Clark Worldwide, Inc. Absorbent article which maintains skin temperature when wet
US6497893B1 (en) 1999-06-30 2002-12-24 Kimberly-Clark Worldwide, Inc. Silk protein treatment composition and treated substrate for transfer to skin
US6152906A (en) 1998-08-25 2000-11-28 Kimberly-Clark Worldwide, Inc. Absorbent article having improved breathability
US6149934A (en) 1999-04-23 2000-11-21 Kimberly-Clark Worldwide, Inc. Absorbent article having a lotionized bodyside liner
US6287286B1 (en) 1998-08-25 2001-09-11 Kimberly-Clark Worldwide, Inc. Absorbent article having a reduced viability of candida albicans
US6238379B1 (en) 1998-08-25 2001-05-29 Kimberly-Clark Worldwide, Inc. Absorbent article with increased wet breathability
US6037275A (en) 1998-08-27 2000-03-14 Alliedsignal Inc. Nanoporous silica via combined stream deposition
US6280911B1 (en) 1998-09-10 2001-08-28 Shipley Company, L.L.C. Photoresist compositions comprising blends of ionic and non-ionic photoacid generators
JP3773664B2 (ja) 1998-09-11 2006-05-10 三菱電機株式会社 駆動制御装置、モジュール、および、複合モジュール
US20020102483A1 (en) 1998-09-15 2002-08-01 Timothy Adams Antireflective coating compositions
US6140254A (en) 1998-09-18 2000-10-31 Alliedsignal Inc. Edge bead removal for nanoporous dielectric silica coatings
JP3523081B2 (ja) 1998-09-21 2004-04-26 信越化学工業株式会社 有機珪素樹脂の製造方法及び該製造方法で得られた有機珪素樹脂を用いたポリウレタンフォームの製造方法
JP3852889B2 (ja) 1998-09-24 2006-12-06 富士写真フイルム株式会社 フォトレジスト用反射防止膜材料組成物
US6190830B1 (en) 1998-09-29 2001-02-20 Kodak Polychrome Graphics Llc Processless direct write printing plate having heat sensitive crosslinked vinyl polymer with organoonium group and methods of imaging and printing
US6667424B1 (en) 1998-10-02 2003-12-23 Kimberly-Clark Worldwide, Inc. Absorbent articles with nits and free-flowing particles
US6503233B1 (en) 1998-10-02 2003-01-07 Kimberly-Clark Worldwide, Inc. Absorbent article having good body fit under dynamic conditions
US6673982B1 (en) 1998-10-02 2004-01-06 Kimberly-Clark Worldwide, Inc. Absorbent article with center fill performance
US6562192B1 (en) 1998-10-02 2003-05-13 Kimberly-Clark Worldwide, Inc. Absorbent articles with absorbent free-flowing particles and methods for producing the same
JP3702108B2 (ja) 1998-10-07 2005-10-05 株式会社東芝 レジストパターン形成方法
JP2000129073A (ja) 1998-10-26 2000-05-09 Toyo Ink Mfg Co Ltd 常温硬化性樹脂組成物および該樹脂組成物を塗工した基材
JP4366735B2 (ja) 1998-11-05 2009-11-18 Jsr株式会社 重合体粒子を含有する研磨剤
JP3059016U (ja) 1998-11-10 1999-07-02 啓次 二宮 ブレーカー用防音装置
DE19852852A1 (de) 1998-11-11 2000-05-18 Inst Halbleiterphysik Gmbh Lithographieverfahren zur Emitterstrukturierung von Bipolartransistoren
US6231989B1 (en) 1998-11-20 2001-05-15 Dow Corning Corporation Method of forming coatings
US6251973B1 (en) 1998-11-23 2001-06-26 Akzo Nobel N.V. Coatings and coating compositions of a reactive group-containing polymer, a hydrazide and a silane
KR100699712B1 (ko) 1998-11-24 2007-03-27 다우 글로벌 테크놀로지스 인크. 가교결합성 매트릭스 전구체와 포라겐을 함유하는 조성물및 이로부터 제조된 다공성 매트릭스
US5981675A (en) 1998-12-07 1999-11-09 Bausch & Lomb Incorporated Silicone-containing macromonomers and low water materials
US6326231B1 (en) 1998-12-08 2001-12-04 Advanced Micro Devices, Inc. Use of silicon oxynitride ARC for metal layers
US6235456B1 (en) 1998-12-09 2001-05-22 Advanced Micros Devices, Inc. Graded anti-reflective barrier films for ultra-fine lithography
US6506831B2 (en) 1998-12-20 2003-01-14 Honeywell International Inc. Novolac polymer planarization films with high temperature stability
US6383466B1 (en) 1998-12-28 2002-05-07 Battelle Memorial Institute Method of dehydroxylating a hydroxylated material and method of making a mesoporous film
US6342249B1 (en) 1998-12-23 2002-01-29 Alza Corporation Controlled release liquid active agent formulation dosage forms
US6329017B1 (en) 1998-12-23 2001-12-11 Battelle Memorial Institute Mesoporous silica film from a solution containing a surfactant and methods of making same
US6635281B2 (en) 1998-12-23 2003-10-21 Alza Corporation Gastric retaining oral liquid dosage form
KR100363695B1 (ko) 1998-12-31 2003-04-11 주식회사 하이닉스반도체 유기난반사방지중합체및그의제조방법
DE60034876T2 (de) 1999-01-07 2008-01-17 Alliedsignal Inc. Dielektrische Filme aus Organohydridosiloxanharzen
TW476865B (en) 1999-01-28 2002-02-21 Tokyo Ohka Kogyo Co Ltd Undercoating composition for photolithographic resist
US6544717B2 (en) 1999-01-28 2003-04-08 Tokyo Ohka Kogyo Co., Ltd. Undercoating composition for photolithographic resist
US6187505B1 (en) 1999-02-02 2001-02-13 International Business Machines Corporation Radiation sensitive silicon-containing resists
EP1031579B1 (en) 1999-02-26 2005-07-27 Showa Denko Kabushiki Kaisha Photopolymerization initiator for color filter, photosensitive coloring composition, and color filter
US6316165B1 (en) 1999-03-08 2001-11-13 Shipley Company, L.L.C. Planarizing antireflective coating compositions
JP4270632B2 (ja) 1999-03-12 2009-06-03 株式会社東芝 ドライエッチングを用いた半導体装置の製造方法
US6849923B2 (en) 1999-03-12 2005-02-01 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method of the same
US6426125B1 (en) 1999-03-17 2002-07-30 General Electric Company Multilayer article and method of making by ARC plasma deposition
US6313257B1 (en) 1999-03-23 2001-11-06 Lord Corporation Poly (mercaptopropylaryl) curatives
JP3543669B2 (ja) 1999-03-31 2004-07-14 信越化学工業株式会社 絶縁膜形成用塗布液及び絶縁膜の形成方法
TW421670B (en) 1999-04-02 2001-02-11 Ind Tech Res Inst Fast-cured sol materials
US6204202B1 (en) 1999-04-14 2001-03-20 Alliedsignal, Inc. Low dielectric constant porous films
US6409883B1 (en) 1999-04-16 2002-06-25 Kimberly-Clark Worldwide, Inc. Methods of making fiber bundles and fibrous structures
DE19917366A1 (de) 1999-04-16 2000-10-19 Inst Neue Mat Gemein Gmbh Mit einer mikrostrukturierten Oberfläche versehene Substrate, Verfahren zu ihrer Herstellung und ihre Verwendung
FR2792323B1 (fr) 1999-04-19 2001-07-06 Centre Nat Etd Spatiales Composition de revetement transparent non mouillable et articles revetus obtenus
JP3064753U (ja) 1999-05-18 2000-01-21 竹沢産業株式会社 送風機
US6509259B1 (en) 1999-06-09 2003-01-21 Alliedsignal Inc. Process of using siloxane dielectric films in the integration of organic dielectric films in electronic devices
US6268457B1 (en) 1999-06-10 2001-07-31 Allied Signal, Inc. Spin-on glass anti-reflective coatings for photolithography
CA2374944A1 (en) 1999-06-10 2000-12-21 Nigel Hacker Spin-on-glass anti-reflective coatings for photolithography
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
US6890448B2 (en) 1999-06-11 2005-05-10 Shipley Company, L.L.C. Antireflective hard mask compositions
US6329118B1 (en) 1999-06-21 2001-12-11 Intel Corporation Method for patterning dual damascene interconnects using a sacrificial light absorbing material
US6696538B2 (en) 1999-07-27 2004-02-24 Lg Chemical Ltd. Semiconductor interlayer dielectric material and a semiconductor device using the same
AU770696B2 (en) 1999-07-30 2004-02-26 Ppg Industries Ohio, Inc. Cured coatings having improved scratch resistance, coated substrates and methods related thereto
US6623791B2 (en) 1999-07-30 2003-09-23 Ppg Industries Ohio, Inc. Coating compositions having improved adhesion, coated substrates and methods related thereto
US6475892B1 (en) 1999-08-02 2002-11-05 Aadvanced Micro Devices, Inc. Simplified method of patterning polysilicon gate in a semiconductor device
US6107167A (en) 1999-08-02 2000-08-22 Advanced Micro Devices, Inc. Simplified method of patterning polysilicon gate in a semiconductor device
US6335235B1 (en) 1999-08-17 2002-01-01 Advanced Micro Devices, Inc. Simplified method of patterning field dielectric regions in a semiconductor device
AR025300A1 (es) 1999-08-23 2002-11-20 Kimberly Clark Co Un articulo absorbente descartable con capacidad para respirar en humedo incrementada.
US6318124B1 (en) 1999-08-23 2001-11-20 Alliedsignal Inc. Nanoporous silica treated with siloxane polymers for ULSI applications
AR027842A1 (es) 1999-08-23 2003-04-16 Kimberly Clark Co Un articulo absorbente el cual mantiene o mejora la salud de la piel
JP2001079491A (ja) 1999-09-10 2001-03-27 Koito Mfg Co Ltd 塗膜形成方法及び該方法で形成された車両用灯具
JP2003509825A (ja) 1999-09-13 2003-03-11 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 電 灯
JP4248098B2 (ja) 1999-09-20 2009-04-02 東京応化工業株式会社 反射防止膜形成用組成物及びレジストパターンの形成方法
US6410150B1 (en) 1999-09-29 2002-06-25 Jsr Corporation Composition for film formation, method of film formation, and insulating film
US20040089238A1 (en) 1999-10-04 2004-05-13 Jerome Birnbaum Vacuum/gas phase reactor for dehydroxylation and alkylation of porous silica
US6472076B1 (en) 1999-10-18 2002-10-29 Honeywell International Inc. Deposition of organosilsesquioxane films
US6315946B1 (en) 1999-10-21 2001-11-13 The United States Of America As Represented By The Secretary Of The Navy Ultra low carbon bainitic weathering steel
US6313045B1 (en) 1999-12-13 2001-11-06 Dow Corning Corporation Nanoporous silicone resins having low dielectric constants and method for preparation
US6359096B1 (en) 1999-10-25 2002-03-19 Dow Corning Corporation Silicone resin compositions having good solution solubility and stability
US6541107B1 (en) 1999-10-25 2003-04-01 Dow Corning Corporation Nanoporous silicone resins having low dielectric constants
US6232424B1 (en) 1999-12-13 2001-05-15 Dow Corning Corporation Soluble silicone resin compositions having good solution stability
US6403464B1 (en) 1999-11-03 2002-06-11 Taiwan Semiconductor Manufacturing Company Method to reduce the moisture content in an organic low dielectric constant material
US6391524B2 (en) 1999-11-19 2002-05-21 Kodak Polychrome Graphics Llc Article having imagable coatings
US6592980B1 (en) 1999-12-07 2003-07-15 Air Products And Chemicals, Inc. Mesoporous films having reduced dielectric constants
US6365266B1 (en) 1999-12-07 2002-04-02 Air Products And Chemicals, Inc. Mesoporous films having reduced dielectric constants
WO2001042155A1 (en) 1999-12-13 2001-06-14 Nippon Sheet Glass Co., Ltd. Low-reflection glass article
TW468053B (en) 1999-12-14 2001-12-11 Nissan Chemical Ind Ltd Antireflection film, process for forming the antireflection film, and antireflection glass
US6902771B2 (en) 2000-02-01 2005-06-07 Jsr Corporation Process for producing silica-based film, silica-based film, insulating film, and semiconductor device
US20030157340A1 (en) 2000-02-01 2003-08-21 Jsr Corporation Process for producing silica-based film, silica-based film, insulating film, and semiconductor device
US20030104225A1 (en) 2000-02-01 2003-06-05 Jsr Corporation Process for producing silica-based film, silica-based film, insulating film, and semiconductor device
JP4195773B2 (ja) 2000-04-10 2008-12-10 Jsr株式会社 層間絶縁膜形成用組成物、層間絶縁膜の形成方法およびシリカ系層間絶縁膜
US6306736B1 (en) 2000-02-04 2001-10-23 The Regents Of The University Of California Process for forming shaped group III-V semiconductor nanocrystals, and product formed using process
AU774200B2 (en) 2000-02-08 2004-06-17 Adsil, Lc Method for improving heat efficiency using silane coatings and coated articles produced thereby
AU2001238216A1 (en) 2000-02-14 2001-08-27 The Procter And Gamble Company Stable, aqueous compositions for treating surfaces, especially fabrics
WO2001063358A1 (en) 2000-02-22 2001-08-30 Brewer Science, Inc. Organic polymeric antireflective coatings deposited by chemical vapor deposition
JP2001240800A (ja) 2000-02-25 2001-09-04 Nippon Sheet Glass Co Ltd 所定表面形状を有する物品の製造方法
DE60138327D1 (de) 2000-02-28 2009-05-28 Jsr Corp Zusammensetzung zur Filmerzeugung, Verfahren zur Filmerzeugung und Filme auf Basis von Siliciumoxid
NZ520879A (en) 2000-02-28 2004-08-27 Adsil Lc Silane-based, coating compositions, coated articles obtained therefrom and methods of using same
JP2001242803A (ja) 2000-02-29 2001-09-07 Sony Corp 表示装置及びその製造方法
US6451420B1 (en) 2000-03-17 2002-09-17 Nanofilm, Ltd. Organic-inorganic hybrid polymer and method of making same
JP3604007B2 (ja) 2000-03-29 2004-12-22 富士通株式会社 低誘電率被膜形成材料、及びそれを用いた被膜と半導体装置の製造方法
JP3795333B2 (ja) 2000-03-30 2006-07-12 東京応化工業株式会社 反射防止膜形成用組成物
WO2001074937A1 (en) 2000-03-30 2001-10-11 General Electric Company Transparent, flame retardant poly(arylene ether) blends
US6268294B1 (en) 2000-04-04 2001-07-31 Taiwan Semiconductor Manufacturing Company Method of protecting a low-K dielectric material
US6593388B2 (en) 2000-04-04 2003-07-15 Renssealer Polytechnic Institute Oligomeric and polymeric photosensitizers comprising a polynuclear aromatic group
US7265062B2 (en) 2000-04-04 2007-09-04 Applied Materials, Inc. Ionic additives for extreme low dielectric constant chemical formulations
US6576568B2 (en) 2000-04-04 2003-06-10 Applied Materials, Inc. Ionic additives for extreme low dielectric constant chemical formulations
US7128976B2 (en) 2000-04-10 2006-10-31 Jsr Corporation Composition for film formation, method of film formation, and silica-based film
EP1146099B1 (en) 2000-04-13 2006-03-22 JSR Corporation Coating composition, method for producing the same, cured product and coating film
TW453612U (en) 2000-04-26 2001-09-01 Ritdisplay Corp Surface processing device of display panel
US6504525B1 (en) 2000-05-03 2003-01-07 Xerox Corporation Rotating element sheet material with microstructured substrate and method of use
US6374738B1 (en) 2000-05-03 2002-04-23 Presstek, Inc. Lithographic imaging with non-ablative wet printing members
US6495479B1 (en) 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
US6632535B1 (en) 2000-06-08 2003-10-14 Q2100, Inc. Method of forming antireflective coatings
JP3846545B2 (ja) 2000-06-08 2006-11-15 信越化学工業株式会社 コーティング剤組成物、コーティング方法及び被覆物品
US6852766B1 (en) 2000-06-15 2005-02-08 3M Innovative Properties Company Multiphoton photosensitization system
US6420088B1 (en) 2000-06-23 2002-07-16 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer
US6891237B1 (en) 2000-06-27 2005-05-10 Lucent Technologies Inc. Organic semiconductor device having an active dielectric layer comprising silsesquioxanes
US6323268B1 (en) 2000-06-27 2001-11-27 Dow Corning Corporation Organosilicon water repellent compositions
JP2002023350A (ja) 2000-07-07 2002-01-23 Fuji Photo Film Co Ltd ネガ型平版印刷版原版
US6271273B1 (en) 2000-07-14 2001-08-07 Shipley Company, L.L.C. Porous materials
US6368400B1 (en) 2000-07-17 2002-04-09 Honeywell International Absorbing compounds for spin-on-glass anti-reflective coatings for photolithography
JP2002043423A (ja) 2000-07-24 2002-02-08 Tokyo Ohka Kogyo Co Ltd 被膜の処理方法およびこの方法を用いた半導体素子の製造方法
US6838178B1 (en) 2000-07-26 2005-01-04 Libbey-Owens-Ford Co. Glass article with anti-reflective coating
US6635341B1 (en) 2000-07-31 2003-10-21 Ppg Industries Ohio, Inc. Coating compositions comprising silyl blocked components, coating, coated substrates and methods related thereto
TW556047B (en) 2000-07-31 2003-10-01 Shipley Co Llc Coated substrate, method for forming photoresist relief image, and antireflective composition
JP4912561B2 (ja) 2000-08-03 2012-04-11 チバ ホールディング インコーポレーテッド 光に安定なシリル化ベンゾトリアゾールuv吸収剤及びそれにより安定化された組成物
AU2001288306A1 (en) 2000-08-18 2002-03-04 The Procter And Gamble Company Compositions and methods for odor and fungal control in ballistic fabric and other protective garments
EP1837902B1 (en) 2000-08-21 2017-05-24 Dow Global Technologies LLC Use of organosilicate resins as hardmasks for organic polymer dielectrics in fabrication of microelectronic devices
US6645685B2 (en) 2000-09-06 2003-11-11 Mitsubishi Paper Mills Limited Process for producing printed wiring board
WO2002023629A2 (en) 2000-09-13 2002-03-21 Shipley Company, L.L.C. Electronic device manufacture
JP3993373B2 (ja) 2000-09-14 2007-10-17 信越化学工業株式会社 ポリオルガノシロキサン化合物及びそれを含有するコーティング組成物
KR100382702B1 (ko) 2000-09-18 2003-05-09 주식회사 엘지화학 유기실리케이트 중합체의 제조방법
US6505362B1 (en) 2000-09-25 2003-01-14 Thomas Scipio Method and system for cushioning a mobile prone person
US6465358B1 (en) 2000-10-06 2002-10-15 Intel Corporation Post etch clean sequence for making a semiconductor device
KR100382955B1 (ko) 2000-10-10 2003-05-09 엘지.필립스 엘시디 주식회사 액정표시장치용 어레이기판과 그 제조방법
US6864040B2 (en) 2001-04-11 2005-03-08 Kodak Polychrome Graphics Llc Thermal initiator system using leuco dyes and polyhalogene compounds
US6884568B2 (en) 2000-10-17 2005-04-26 Kodak Polychrome Graphics, Llc Stabilized infrared-sensitive polymerizable systems
DE10051725A1 (de) 2000-10-18 2002-05-02 Merck Patent Gmbh Wäßrige Beschichtungslösung für abriebfeste SiO2-Antireflexschichten
DE10051724A1 (de) 2000-10-18 2002-05-02 Flabeg Gmbh & Co Kg Thermisch vorgespanntes Glas mit einer abriebfesten, porösen SiO¶2¶-Antireflexschicht
US6503526B1 (en) 2000-10-20 2003-01-07 Kimberly-Clark Worldwide, Inc. Absorbent articles enhancing skin barrier function
US6756520B1 (en) 2000-10-20 2004-06-29 Kimberly-Clark Worldwide, Inc. Hydrophilic compositions for use on absorbent articles to enhance skin barrier
JP2002129103A (ja) 2000-10-23 2002-05-09 Jsr Corp 膜形成用組成物および絶縁膜形成用材料
US6455416B1 (en) 2000-10-24 2002-09-24 Advanced Micro Devices, Inc. Developer soluble dyed BARC for dual damascene process
JP4632522B2 (ja) 2000-11-30 2011-02-16 Nec液晶テクノロジー株式会社 反射型液晶表示装置の製造方法
US6699647B2 (en) 2000-12-21 2004-03-02 Eastman Kodak Company High speed photothermographic materials containing tellurium compounds and methods of using same
US20020128615A1 (en) 2000-12-22 2002-09-12 Tyrrell David John Absorbent articles with non-aqueous compositions containing anionic polymers
US6749860B2 (en) 2000-12-22 2004-06-15 Kimberly-Clark Worldwide, Inc. Absorbent articles with non-aqueous compositions containing botanicals
US6832064B2 (en) 2000-12-29 2004-12-14 Samsung Electronics Co., Ltd. Seamless drying belt for electrophotographic process
WO2002058699A1 (en) 2001-01-25 2002-08-01 Bristol-Myers Squibb Company Pharmaceutical forms of epothilones for oral administration
DE10103421A1 (de) 2001-01-26 2002-08-14 Ge Bayer Silicones Gmbh & Co Polyorganosiloxan-Zusammensetzung
US7026053B2 (en) 2001-01-29 2006-04-11 Jsr Corporation Process for producing silica-based film, silica-based film, insulating film, and semiconductor device
US6465889B1 (en) 2001-02-07 2002-10-15 Advanced Micro Devices, Inc. Silicon carbide barc in dual damascene processing
JP2002235037A (ja) 2001-02-13 2002-08-23 Jsr Corp 膜形成用組成物の製造方法、膜形成用組成物、膜の形成方法およびシリカ系膜
US6709257B2 (en) 2001-02-20 2004-03-23 Q2100, Inc. Eyeglass lens forming apparatus with sensor
US6893245B2 (en) 2001-02-20 2005-05-17 Q2100, Inc. Apparatus for preparing an eyeglass lens having a computer system controller
US6790024B2 (en) 2001-02-20 2004-09-14 Q2100, Inc. Apparatus for preparing an eyeglass lens having multiple conveyor systems
US6752613B2 (en) 2001-02-20 2004-06-22 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller for initiation of lens curing
US6840752B2 (en) 2001-02-20 2005-01-11 Q2100, Inc. Apparatus for preparing multiple eyeglass lenses
US6702564B2 (en) 2001-02-20 2004-03-09 Q2100, Inc. System for preparing an eyeglass lens using colored mold holders
US6655946B2 (en) 2001-02-20 2003-12-02 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller for conveyor and curing units
US7011773B2 (en) 2001-02-20 2006-03-14 Q2100, Inc. Graphical interface to display mold assembly position in a lens forming apparatus
US6875005B2 (en) 2001-02-20 2005-04-05 Q1200, Inc. Apparatus for preparing an eyeglass lens having a gating device
US6808381B2 (en) 2001-02-20 2004-10-26 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller
US6612828B2 (en) 2001-02-20 2003-09-02 Q2100, Inc. Fill system with controller for monitoring use
US6726463B2 (en) 2001-02-20 2004-04-27 Q2100, Inc. Apparatus for preparing an eyeglass lens having a dual computer system controller
US6712331B2 (en) 2001-02-20 2004-03-30 Q2100, Inc. Holder for mold assemblies with indicia
US6758663B2 (en) 2001-02-20 2004-07-06 Q2100, Inc. System for preparing eyeglass lenses with a high volume curing unit
US7139636B2 (en) 2001-02-20 2006-11-21 Q2100, Inc. System for preparing eyeglass lenses with bar code reader
US7052262B2 (en) 2001-02-20 2006-05-30 Q2100, Inc. System for preparing eyeglasses lens with filling station
US6676398B2 (en) 2001-02-20 2004-01-13 Q2100, Inc. Apparatus for preparing an eyeglass lens having a prescription reader
US6717181B2 (en) 2001-02-22 2004-04-06 Semiconductor Energy Laboratory Co., Ltd. Luminescent device having thin film transistor
US20030198578A1 (en) 2002-04-18 2003-10-23 Dielectric Systems, Inc. Multi-stage-heating thermal reactor for transport polymerization
US6825303B2 (en) 2001-02-26 2004-11-30 Dielectric Systems, Inc. Integration of low ε thin films and Ta into Cu dual damascene
US6703462B2 (en) 2001-08-09 2004-03-09 Dielectric Systems Inc. Stabilized polymer film and its manufacture
US6797343B2 (en) 2001-12-20 2004-09-28 Dielectric Systems, Inc. Dielectric thin films from fluorinated precursors
US20020123592A1 (en) 2001-03-02 2002-09-05 Zenastra Photonics Inc. Organic-inorganic hybrids surface adhesion promoter
KR100496420B1 (ko) 2001-03-02 2005-06-17 삼성에스디아이 주식회사 2층구조의 소오스/드레인 전극을 갖는 박막 트랜지스터 및그의 제조방법과 이를 이용한 액티브 매트릭스형 표시소자및 그의 제조방법
US6582861B2 (en) 2001-03-16 2003-06-24 Applied Materials, Inc. Method of reshaping a patterned organic photoresist surface
JP4545973B2 (ja) 2001-03-23 2010-09-15 富士通株式会社 シリコン系組成物、低誘電率膜、半導体装置および低誘電率膜の製造方法
JP2002285086A (ja) 2001-03-26 2002-10-03 Jsr Corp 膜形成用組成物、膜の形成方法およびシリカ系膜
JP3908552B2 (ja) 2001-03-29 2007-04-25 Nec液晶テクノロジー株式会社 液晶表示装置及びその製造方法
US6617257B2 (en) 2001-03-30 2003-09-09 Lam Research Corporation Method of plasma etching organic antireflective coating
US20040091811A1 (en) 2002-10-30 2004-05-13 Munnelly Heidi M. Hetero-substituted aryl acetic acid co-initiators for IR-sensitive compositions
US6893797B2 (en) 2001-11-09 2005-05-17 Kodak Polychrome Graphics Llc High speed negative-working thermal printing plates
US6846614B2 (en) 2002-02-04 2005-01-25 Kodak Polychrome Graphics Llc On-press developable IR sensitive printing plates
US6599995B2 (en) 2001-05-01 2003-07-29 Korea Institute Of Science And Technology Polyalkylaromaticsilsesquioxane and preparation method thereof
TW576859B (en) 2001-05-11 2004-02-21 Shipley Co Llc Antireflective coating compositions
KR100744955B1 (ko) 2001-05-21 2007-08-02 엘지.필립스 엘시디 주식회사 횡전계방식 액정표시장치용 어레이기판과 그 제조방법
US6740685B2 (en) 2001-05-30 2004-05-25 Honeywell International Inc. Organic compositions
JP4146105B2 (ja) 2001-05-30 2008-09-03 富士フイルム株式会社 紫外線吸収剤及びその製造方法、紫外線吸収剤を含有する組成物、ならびに画像形成方法
US6448185B1 (en) 2001-06-01 2002-09-10 Intel Corporation Method for making a semiconductor device that has a dual damascene interconnect
AU2002305849B2 (en) 2001-06-05 2008-01-17 Flexplay Technologies, Inc. Limited play optical devices with interstitial reactive layer and methods of making same
US6558880B1 (en) 2001-06-06 2003-05-06 Eastman Kodak Company Thermally developable imaging materials containing heat-bleachable antihalation composition
JP4181312B2 (ja) 2001-06-25 2008-11-12 富士フイルム株式会社 ネガ型画像記録材料
US6890855B2 (en) 2001-06-27 2005-05-10 International Business Machines Corporation Process of removing residue material from a precision surface
SG120873A1 (en) 2001-06-29 2006-04-26 Jsr Corp Acid generator, sulfonic acid, sulfonic acid derivatives and radiation-sensitive resin composition
JP4965033B2 (ja) 2001-06-29 2012-07-04 東レ・ダウコーニング株式会社 液状アルコキシシリル官能性シリコーン樹脂、その製造方法および硬化性シリコーン樹脂組成物
DE10135640A1 (de) 2001-07-21 2003-02-06 Covion Organic Semiconductors Lösungen organischer Halbleiter
US6703169B2 (en) 2001-07-23 2004-03-09 Applied Materials, Inc. Method of preparing optically imaged high performance photomasks
US6596404B1 (en) 2001-07-26 2003-07-22 Dow Corning Corporation Siloxane resins
US6649212B2 (en) 2001-07-30 2003-11-18 Guardian Industries Corporation Modified silicon-based UV absorbers useful in crosslinkable polysiloxane coatings via sol-gel polymerization
US6592999B1 (en) 2001-07-31 2003-07-15 Ppg Industries Ohio, Inc. Multi-layer composites formed from compositions having improved adhesion, coating compositions, and methods related thereto
JP2003050459A (ja) 2001-08-07 2003-02-21 Hitachi Chem Co Ltd 感光性樹脂組成物、感光性エレメント、レジストパターンの製造法およびプリント配線板の製造法
JP2003064307A (ja) 2001-08-28 2003-03-05 Hitachi Chem Co Ltd シリカ系被膜、シリカ系被膜形成用組成物、シリカ系被膜の製造方法及び電子部品
JP4972834B2 (ja) 2001-08-28 2012-07-11 日立化成工業株式会社 シロキサン樹脂
KR100436220B1 (ko) 2001-08-30 2004-06-12 주식회사 네패스 바닥 반사방지막용 유기 중합체, 그의 제조방법 및 그를함유하는 조성물
US6514677B1 (en) 2001-08-31 2003-02-04 Eastman Kodak Company Thermally developable infrared sensitive imaging materials containing heat-bleachable antihalation composition
US6824952B1 (en) 2001-09-13 2004-11-30 Microchem Corp. Deep-UV anti-reflective resist compositions
DE10146687C1 (de) 2001-09-21 2003-06-26 Flabeg Solarglas Gmbh & Co Kg Glas mit einer porösen Antireflex-Oberflächenbeschichtung sowie Verfahren zur Herstellung des Glases und Verwendung eines derartigen Glases
KR20030027694A (ko) 2001-09-25 2003-04-07 제이에스알 가부시끼가이샤 막형성 방법, 적층막, 절연막 및 반도체용 기판
TW591341B (en) 2001-09-26 2004-06-11 Shipley Co Llc Coating compositions for use with an overcoated photoresist
US6730461B2 (en) 2001-10-26 2004-05-04 Eastman Kodak Company Thermally developable imaging materials with reduced mottle providing improved image uniformity
US6949297B2 (en) 2001-11-02 2005-09-27 3M Innovative Properties Company Hybrid adhesives, articles, and methods
JP4381636B2 (ja) 2001-11-05 2009-12-09 新日鐵化学株式会社 シリコーン樹脂組成物及びシリコーン樹脂成形体
US6617609B2 (en) 2001-11-05 2003-09-09 3M Innovative Properties Company Organic thin film transistor with siloxane polymer interface
AU2002359387A1 (en) 2001-11-15 2003-06-10 Honeywell International Inc. Anti-reflective coatings for photolithography and methods of preparation thereof
AU2002227106A1 (en) 2001-11-15 2003-06-10 Honeywell International Inc. Spin-on anti-reflective coatings for photolithography
KR100818678B1 (ko) 2001-11-16 2008-04-01 허니웰 인터내셔널 인코포레이티드 포토리소그라피용 스핀온 유리 반사 방지 피막
US6589658B1 (en) 2001-11-29 2003-07-08 Guardian Industries Corp. Coated article with anti-reflective layer(s) system
US6573175B1 (en) 2001-11-30 2003-06-03 Micron Technology, Inc. Dry low k film application for interlevel dielectric and method of cleaning etched features
US6586102B1 (en) 2001-11-30 2003-07-01 Guardian Industries Corp. Coated article with anti-reflective layer(s) system
KR100635042B1 (ko) 2001-12-14 2006-10-17 삼성에스디아이 주식회사 전면전극을 구비한 평판표시장치 및 그의 제조방법
JP2003183575A (ja) 2001-12-20 2003-07-03 Mitsui Chemicals Inc 保存安定性に優れる多孔質シリカフィルム形成用塗布液、該塗布液の製造方法、並びに、均一なメソ孔が規則的に配列された多孔質シリカフィルムの製造方法、該多孔質シリカフィルムおよびその用途
KR100652046B1 (ko) 2001-12-22 2006-11-30 엘지.필립스 엘시디 주식회사 액정표시소자 및 그 제조방법
US20030171729A1 (en) 2001-12-28 2003-09-11 Kaun James Martin Multifunctional containment sheet and system for absorbent atricles
US20030176718A1 (en) 2002-01-08 2003-09-18 Rantala Juha T. Methods and compounds for making coatings, waveguides and other optical devices
US20030171607A1 (en) 2002-01-08 2003-09-11 Rantala Juha T. Methods and compounds for making coatings, waveguides and other optical devices
US6924384B2 (en) 2002-01-08 2005-08-02 Silecs Oy Methods and compounds for making coatings, waveguides and other optical devices
US6831189B2 (en) 2002-01-08 2004-12-14 Silecs Oy Methods and compounds for making coatings, waveguides and other optical devices
US6803476B2 (en) 2002-01-08 2004-10-12 Silecs Oy Methods and compounds for making coatings, waveguides and other optical devices
US6844131B2 (en) 2002-01-09 2005-01-18 Clariant Finance (Bvi) Limited Positive-working photoimageable bottom antireflective coating
US7144827B2 (en) 2002-01-17 2006-12-05 Silecs Oy Poly(organosiloxane) materials and methods for hybrid organic-inorganic dielectrics for integrated circuit applications
AU2003201435A1 (en) 2002-01-17 2003-07-30 Silecs Oy Thin films and methods for the preparation thereof
US20050032357A1 (en) 2002-01-17 2005-02-10 Rantala Juha T. Dielectric materials and methods for integrated circuit applications
US7060634B2 (en) 2002-01-17 2006-06-13 Silecs Oy Materials and methods for forming hybrid organic-inorganic dielectric materials for integrated circuit applications
DE60330047D1 (en) 2002-01-18 2009-12-24 Merck & Co Inc "n-(benzyl)aminoalkyl carboxylate, phosphinate, phosphonate und tetrazole als edg rezeptoragonisten"
JP2003211070A (ja) 2002-01-21 2003-07-29 Toshiba Corp 塗膜の形成方法、半導体装置の製造方法および塗布液
WO2003067682A2 (en) 2002-02-05 2003-08-14 Gencell Corporation Silane coated metallic fuel cell components and methods of manufacture
WO2003066750A1 (fr) 2002-02-06 2003-08-14 Asahi Kasei Kabushiki Kaisha Compositions de revetement pour former des films minces isolants
US7682701B2 (en) 2002-02-27 2010-03-23 Hitachi Chemical Co., Ltd. Composition for forming silica based coating film, silica based coating film and method for preparation thereof, and electronic parts
CN1320073C (zh) 2002-02-27 2007-06-06 日立化成工业株式会社 二氧化硅涂膜形成用组合物、二氧化硅涂膜及其制造方法、以及电子部件
US7687590B2 (en) 2002-02-27 2010-03-30 Hitachi Chemical Company, Ltd. Composition for forming silica based coating film, silica based coating film and method for preparation thereof, and electronic parts
JP4110797B2 (ja) 2002-02-27 2008-07-02 日立化成工業株式会社 シリカ系被膜形成用組成物、シリカ系被膜の製造方法及び電子部品
JP3966026B2 (ja) 2002-03-06 2007-08-29 日立化成工業株式会社 シリカ系被膜形成用組成物、シリカ系被膜及びその製造方法、並びに電子部品
DE10213294B4 (de) 2002-03-25 2015-05-13 Osram Gmbh Verwendung eines UV-beständigen Polymers in der Optoelektronik sowie im Außenanwendungsbereich, UV-beständiges Polymer sowie optisches Bauelement
US6906157B2 (en) 2002-04-09 2005-06-14 Eastman Kodak Company Polymer particle stabilized by dispersant and method of preparation
AU2002309807A1 (en) 2002-04-10 2003-10-27 Honeywell International, Inc. Low metal porous silica dielectric for integral circuit applications
US7381442B2 (en) 2002-04-10 2008-06-03 Honeywell International Inc. Porogens for porous silica dielectric for integral circuit applications
US6984476B2 (en) 2002-04-15 2006-01-10 Sharp Kabushiki Kaisha Radiation-sensitive resin composition, forming process for forming patterned insulation film, active matrix board and flat-panel display device equipped with the same, and process for producing flat-panel display device
US6730454B2 (en) 2002-04-16 2004-05-04 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer
EP1495066B1 (en) 2002-04-18 2008-07-16 LG Chem, Ltd. Organic silicate polymer and insulation film comprising the same
US6787281B2 (en) 2002-05-24 2004-09-07 Kodak Polychrome Graphics Llc Selected acid generating agents and their use in processes for imaging radiation-sensitive elements
US7122880B2 (en) 2002-05-30 2006-10-17 Air Products And Chemicals, Inc. Compositions for preparing low dielectric materials
EP1985675B1 (en) 2002-06-04 2009-12-09 Fujitsu Limited Antibacterial paint for materials and materials coated therewith
US7897979B2 (en) 2002-06-07 2011-03-01 Semiconductor Energy Laboratory Co., Ltd. Light emitting device and manufacturing method thereof
KR100515583B1 (ko) 2002-06-27 2005-09-20 주식회사 엘지화학 유기실리케이트 중합체 및 이를 함유하는 절연막
JP3631236B2 (ja) 2002-07-12 2005-03-23 東京応化工業株式会社 シリカ系有機被膜の製造方法
CN1248556C (zh) 2002-08-05 2006-03-29 佳能株式会社 电极和布线材料吸收用底层图形形成材料及其应用
US6896821B2 (en) 2002-08-23 2005-05-24 Dalsa Semiconductor Inc. Fabrication of MEMS devices with spin-on glass
KR100489590B1 (ko) 2002-09-19 2005-05-16 엘지.필립스 엘시디 주식회사 투과형 유기전계발광 소자 및 그의 제조방법
JP4032234B2 (ja) 2002-09-30 2008-01-16 信越化学工業株式会社 ケイ素含有重合性化合物、その製造方法、高分子化合物、レジスト材料及びパターン形成方法
US20040067437A1 (en) 2002-10-06 2004-04-08 Shipley Company, L.L.C. Coating compositions for use with an overcoated photoresist
US7005390B2 (en) 2002-10-09 2006-02-28 Intel Corporation Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
KR100860523B1 (ko) 2002-10-11 2008-09-26 엘지디스플레이 주식회사 횡전계방식 액정 표시 소자 및 그 제조방법
US7038328B2 (en) 2002-10-15 2006-05-02 Brewer Science Inc. Anti-reflective compositions comprising triazine compounds
US6783468B2 (en) 2002-10-24 2004-08-31 Acushnet Company Low deformation golf ball
US7122384B2 (en) 2002-11-06 2006-10-17 E. I. Du Pont De Nemours And Company Resonant light scattering microparticle methods
WO2004044025A2 (en) 2002-11-12 2004-05-27 Honeywell International Inc Anti-reflective coatings for photolithography and methods of preparation thereof
JP2004161875A (ja) 2002-11-13 2004-06-10 Shin Etsu Chem Co Ltd 多孔質膜形成用組成物、多孔質膜とその製造方法、層間絶縁間膜及び半導体装置
US7465414B2 (en) 2002-11-14 2008-12-16 Transitions Optical, Inc. Photochromic article
JP2004177952A (ja) 2002-11-20 2004-06-24 Rohm & Haas Electronic Materials Llc 多層フォトレジスト系
TWI230292B (en) 2002-12-09 2005-04-01 Lg Philips Lcd Co Ltd Array substrate having color filter on thin film transistor structure for LCD device and method of fabricating the same
US7133088B2 (en) 2002-12-23 2006-11-07 Lg.Philips Lcd Co., Ltd. Liquid crystal display device and method of fabricating the same
KR100887671B1 (ko) 2002-12-23 2009-03-11 엘지디스플레이 주식회사 액정표시장치용 어레이기판과 그 제조방법
KR20040061292A (ko) 2002-12-30 2004-07-07 엘지.필립스 엘시디 주식회사 액정표시소자 제조방법
US7018779B2 (en) 2003-01-07 2006-03-28 International Business Machines Corporation Apparatus and method to improve resist line roughness in semiconductor wafer processing
CN1742363B (zh) 2003-01-25 2010-10-13 霍尼韦尔国际公司 受损电介质材料和电介质膜的修复和恢复
US20040166434A1 (en) 2003-02-21 2004-08-26 Dammel Ralph R. Photoresist composition for deep ultraviolet lithography
US7507783B2 (en) 2003-02-24 2009-03-24 Brewer Science Inc. Thermally curable middle layer comprising polyhedral oligomeric silsesouioxanes for 193-nm trilayer resist process
US6902861B2 (en) 2003-03-10 2005-06-07 Kodak Polychrome Graphics, Llc Infrared absorbing compounds and their use in photoimageable elements
US7354751B2 (en) 2003-03-12 2008-04-08 Mitsukan Group Corporation Alcohol dehydrogenase gene of acetic acid bacterium
JP3674041B2 (ja) 2003-03-13 2005-07-20 日立化成工業株式会社 シリカ系被膜形成用組成物、シリカ系被膜及びその形成方法、並びにシリカ系被膜を備える電子部品
JP2004307694A (ja) 2003-04-09 2004-11-04 Shin Etsu Chem Co Ltd 多孔質膜形成用組成物、多孔質膜の製造方法、多孔質膜、層間絶縁膜及び半導体装置。
KR100645682B1 (ko) 2003-04-17 2006-11-13 주식회사 엘지화학 유기실록산 수지 및 이를 이용한 절연막
US7060637B2 (en) 2003-05-12 2006-06-13 Micron Technology, Inc. Methods of forming intermediate semiconductor device structures using spin-on, photopatternable, interlayer dielectric materials
KR100519948B1 (ko) 2003-05-20 2005-10-10 엘지.필립스 엘시디 주식회사 비정질 실리콘의 결정화 공정 및 이를 이용한 스위칭 소자
ES2321390T3 (es) 2003-05-20 2009-06-05 Dsm Ip Assets B.V. Proceso de revestimiento de superficie nano-estructurada, revestimientos nano-estructurados y articulos que comprenden el revestimiento.
US7202013B2 (en) 2003-06-03 2007-04-10 Shin-Etsu Chemical Co., Ltd. Antireflective film material, and antireflective film and pattern formation method using the same
US7303785B2 (en) 2003-06-03 2007-12-04 Shin-Etsu Chemical Co., Ltd. Antireflective film material, and antireflective film and pattern formation method using the same
US7008476B2 (en) 2003-06-11 2006-03-07 Az Electronic Materials Usa Corp. Modified alginic acid of alginic acid derivatives and thermosetting anti-reflective compositions thereof
US6899988B2 (en) 2003-06-13 2005-05-31 Kodak Polychrome Graphics Llc Laser thermal metallic donors
KR100507967B1 (ko) 2003-07-01 2005-08-10 삼성전자주식회사 실록산계 수지 및 이를 이용한 반도체 층간 절연막
KR100504291B1 (ko) 2003-07-14 2005-07-27 삼성전자주식회사 게르마늄을 포함하는 실록산계 수지 및 이를 이용한반도체 층간 절연막 형성 방법
US7109519B2 (en) 2003-07-15 2006-09-19 3M Innovative Properties Company Bis(2-acenyl)acetylene semiconductors
JP2005049542A (ja) 2003-07-31 2005-02-24 Fuji Photo Film Co Ltd 画像形成方法及び現像液
EP1654334A1 (en) 2003-08-01 2006-05-10 Dow Corning Corporation Silicone based dielectric coatings and films for photovoltaic applications
US6942083B2 (en) 2003-08-07 2005-09-13 Ford Global Technologies, Llc Viscous fan clutch actuated by a heating element and ambient air
US7172849B2 (en) 2003-08-22 2007-02-06 International Business Machines Corporation Antireflective hardmask and uses thereof
JP2005099693A (ja) 2003-09-05 2005-04-14 Hitachi Chem Co Ltd 反射防止膜形成用組成物及びそれを用いた反射防止膜の製造方法、光学部品、太陽電池ユニット
US7622399B2 (en) 2003-09-23 2009-11-24 Silecs Oy Method of forming low-k dielectrics using a rapid curing process
US20050074981A1 (en) 2003-10-06 2005-04-07 Meagley Robert P. Increasing the etch resistance of photoresists
US7270931B2 (en) 2003-10-06 2007-09-18 International Business Machines Corporation Silicon-containing compositions for spin-on ARC/hardmask materials
JP5348843B2 (ja) 2003-10-07 2013-11-20 ハネウエル・インターナシヨナル・インコーポレーテツド 集積回路用途の被覆およびハードマスク組成物、これらの製造方法および使用
KR100698391B1 (ko) 2003-10-07 2007-03-23 히다치 가세고교 가부시끼가이샤 방사선 경화성 조성물, 그 보존방법, 경화막 형성방법,패턴 형성방법, 패턴 사용방법, 전자부품 및 광도파로
KR100979355B1 (ko) 2003-10-09 2010-08-31 삼성전자주식회사 다반응성 환형 실리케이트 화합물, 상기 화합물로부터제조된 실록산계 중합체 및 상기 중합체를 이용한 절연막제조방법
KR20050040275A (ko) 2003-10-28 2005-05-03 삼성전자주식회사 절연막 형성용 조성물 및 이를 이용한 절연막 또는 절연막패턴의 형성방법
JP2005139265A (ja) 2003-11-05 2005-06-02 Tokyo Ohka Kogyo Co Ltd シリカ系被膜形成用塗布液
JP4447283B2 (ja) 2003-11-05 2010-04-07 東京応化工業株式会社 シリカ系被膜形成用塗布液
US8053159B2 (en) 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
US7919145B2 (en) 2003-11-21 2011-04-05 Xerocoat Inc. Silica films and method of production thereof
US7470634B2 (en) 2003-11-24 2008-12-30 Samsung Electronics Co., Ltd. Method for forming interlayer dielectric film for semiconductor device by using polyhedral molecular silsesquioxane
US20050136687A1 (en) 2003-12-19 2005-06-23 Honeywell International Inc Porous silica dielectric having improved etch selectivity towards inorganic anti-reflective coating materials for integrated circuit applications, and methods of manufacture
TWI237892B (en) 2004-01-13 2005-08-11 Ind Tech Res Inst Method of forming thin-film transistor devices with electro-static discharge protection
DE102004008442A1 (de) 2004-02-19 2005-09-15 Degussa Ag Siliciumverbindungen für die Erzeugung von SIO2-haltigen Isolierschichten auf Chips
JP4792732B2 (ja) 2004-11-18 2011-10-12 株式会社日立製作所 反射防止膜及び反射防止膜を用いた光学部品及び反射防止膜を用いた画像表示装置
US7172913B2 (en) 2004-03-19 2007-02-06 Samsung Electronics Co., Ltd. Thin film transistor array panel and manufacturing method thereof
KR20060129509A (ko) 2004-03-26 2006-12-15 후지 샤신 필름 가부시기가이샤 반사 방지 필름의 제조방법, 반사 방지 필름, 편광판 및화상 표시 장치
JP4494061B2 (ja) 2004-03-30 2010-06-30 東京応化工業株式会社 ポジ型レジスト組成物
KR100569220B1 (ko) 2004-04-06 2006-04-10 한국과학기술원 플라즈마 디스플레이 패널용 유전체 조성물
US7067841B2 (en) 2004-04-22 2006-06-27 E. I. Du Pont De Nemours And Company Organic electronic devices
US7177000B2 (en) 2004-05-18 2007-02-13 Automotive Systems Laboratory, Inc. Liquid crystal display cell structure and manufacture process of a liquid crystal display comprising an opening formed through the color filter and partially the buffer layer
JP4563076B2 (ja) 2004-05-26 2010-10-13 東京応化工業株式会社 反射防止膜形成用組成物、該反射防止膜形成用組成物からなる反射防止膜、および該反射防止膜形成用組成物を用いたレジストパターン形成方法
JP5264170B2 (ja) 2004-07-16 2013-08-14 ダウ・コーニング・コーポレイション 感光性シリコーン樹脂組成物
US20080157065A1 (en) 2004-08-03 2008-07-03 Ahila Krishnamoorthy Compositions, layers and films for optoelectronic devices, methods of production and uses thereof
US7015061B2 (en) 2004-08-03 2006-03-21 Honeywell International Inc. Low temperature curable materials for optical applications
JP2006045352A (ja) 2004-08-04 2006-02-16 Hitachi Chem Co Ltd シリカ系被膜形成用組成物、シリカ系被膜及びその形成方法並びにシリカ系被膜を備える電子部品
KR20060020830A (ko) 2004-09-01 2006-03-07 삼성코닝 주식회사 계면활성제를 템플릿으로 이용한 저유전성 메조포러스박막의 제조방법
KR100699996B1 (ko) 2004-09-02 2007-03-26 삼성에스디아이 주식회사 회로 측정용 패드를 포함하는 유기전계발광표시장치와 그제조방법
US20060047034A1 (en) 2004-09-02 2006-03-02 Haruaki Sakurai Composition for forming silica-based film, method of forming silica-based film, and electronic component provided with silica-based film
JP2005042118A (ja) 2004-09-07 2005-02-17 Hitachi Chem Co Ltd シリカ系被膜形成用組成物、シリカ系被膜の製造方法及び電子部品
JP5143334B2 (ja) 2004-09-07 2013-02-13 日立化成工業株式会社 シリカ系被膜形成用組成物、シリカ系被膜及びその形成方法、並びにシリカ系被膜を備える電子部品
JP2005105282A (ja) 2004-10-15 2005-04-21 Hitachi Chem Co Ltd シリカ系被膜形成用組成物、シリカ系被膜及びその形成方法、並びにシリカ系被膜を備える電子部品
JP2005105281A (ja) 2004-10-15 2005-04-21 Hitachi Chem Co Ltd シリカ系被膜形成用組成物、シリカ系被膜及びその形成方法、並びにシリカ系被膜を備える電子部品
JP2005105283A (ja) 2004-10-27 2005-04-21 Hitachi Chem Co Ltd シリカ系被膜形成用組成物、シリカ系被膜及びその形成方法、並びにシリカ系被膜を備える電子部品
JP2005105284A (ja) 2004-10-29 2005-04-21 Hitachi Chem Co Ltd シリカ系被膜形成用組成物、シリカ系被膜、シリカ系被膜の製造方法及び電子部品
JP2005072615A (ja) 2004-10-29 2005-03-17 Hitachi Chem Co Ltd シリカ系被膜形成用組成物、シリカ系被膜、シリカ系被膜の製造方法及び電子部品
JP2005136429A (ja) 2004-11-12 2005-05-26 Hitachi Chem Co Ltd シリカ系被膜形成用組成物、シリカ系被膜及びその形成方法、並びにシリカ系被膜を備える電子部品
JP5143335B2 (ja) 2004-11-12 2013-02-13 日立化成工業株式会社 シリカ系被膜形成用組成物、シリカ系被膜及びその形成方法、並びにシリカ系被膜を備える電子部品
JP2006183029A (ja) 2004-11-30 2006-07-13 Hitachi Chem Co Ltd シリカ系被膜形成用組成物、シリカ系被膜の形成方法、シリカ系被膜、及び、電子部品
JP2006183028A (ja) 2004-11-30 2006-07-13 Hitachi Chem Co Ltd シリカ系被膜形成用組成物、シリカ系被膜の形成方法、シリカ系被膜、及び、電子部品
KR20060068348A (ko) 2004-12-16 2006-06-21 삼성코닝 주식회사 실록산계 중합체 및 상기 중합체를 이용한 절연막 제조방법
US20060132459A1 (en) 2004-12-20 2006-06-22 Huddleston Wyatt A Interpreting an image
TW200641075A (en) 2004-12-21 2006-12-01 Hitachi Chemical Co Ltd Film, silica film and method of forming the same, composition for forming silica film, and electronic part
JP2006213908A (ja) 2004-12-21 2006-08-17 Hitachi Chem Co Ltd シリカ系被膜形成用組成物、シリカ系被膜の形成方法、シリカ系被膜、及び、電子部品
EP1674904B1 (en) 2004-12-22 2008-12-03 Rohm and Haas Electronic Materials, L.L.C. Optical dry-films and methods of forming optical devices with dry-films
JP2006182811A (ja) 2004-12-24 2006-07-13 Tokyo Ohka Kogyo Co Ltd シリカ系被膜形成用塗布液
US7374812B2 (en) 2004-12-30 2008-05-20 3M Innovative Properties Company Low refractive index coating composition for use in antireflection polymer film coatings and manufacturing method
US7323514B2 (en) 2004-12-30 2008-01-29 3M Innovative Properties Company Low refractive index fluoropolymer coating compositions for use in antireflective polymer films
US20060155594A1 (en) 2005-01-13 2006-07-13 Jess Almeida Adaptive step-by-step process with guided conversation logs for improving the quality of transaction data
KR101119141B1 (ko) 2005-01-20 2012-03-19 삼성코닝정밀소재 주식회사 폴리머 나노 입자를 포함하는 저유전 박막 형성용 조성물및 이를 이용한 저유전 박막의 제조방법
GB2424382A (en) 2005-02-25 2006-09-27 Asahi Chemical Ind Antireflective coatings
JP4513966B2 (ja) 2005-03-07 2010-07-28 信越化学工業株式会社 プライマー組成物及びそれを用いた電気電子部品
JP2006249181A (ja) 2005-03-09 2006-09-21 Fuji Photo Film Co Ltd 絶縁材料形成用組成物の製造方法、絶縁材料形成用組成物およびこれを用いた絶縁膜
US20080003373A1 (en) 2005-05-11 2008-01-03 Yazaki Corporation Antireflective coating compositions and methods for depositing such coatings
NZ563647A (en) 2005-05-31 2010-01-29 Xerocoat Inc Control of morphology of silica films
KR20060134304A (ko) 2005-06-22 2006-12-28 삼성전자주식회사 액정 표시 장치
US20070004587A1 (en) 2005-06-30 2007-01-04 Intel Corporation Method of forming metal on a substrate using a Ruthenium-based catalyst
KR20070010618A (ko) 2005-07-19 2007-01-24 삼성전자주식회사 표시 장치 및 박막 트랜지스터 표시판
JP4677937B2 (ja) 2005-07-20 2011-04-27 セイコーエプソン株式会社 膜パターンの形成方法、デバイス、電気光学装置、電子機器、及びアクティブマトリクス基板の製造方法
KR101209046B1 (ko) 2005-07-27 2012-12-06 삼성디스플레이 주식회사 박막트랜지스터 기판과 박막트랜지스터 기판의 제조방법
US20070023864A1 (en) 2005-07-28 2007-02-01 International Business Machines Corporation Methods of fabricating bipolar transistor for improved isolation, passivation and critical dimension control
KR20070014281A (ko) 2005-07-28 2007-02-01 삼성전자주식회사 액정 표시 장치
KR20070014579A (ko) 2005-07-29 2007-02-01 삼성전자주식회사 유기 박막 트랜지스터 표시판 및 그 제조 방법
KR20070015314A (ko) 2005-07-30 2007-02-02 삼성전자주식회사 액정표시장치 및 그의 제조 방법
KR100683791B1 (ko) 2005-07-30 2007-02-20 삼성에스디아이 주식회사 박막 트랜지스터 기판 및 이를 구비한 평판 디스플레이장치
JP4039446B2 (ja) 2005-08-02 2008-01-30 エプソンイメージングデバイス株式会社 電気光学装置及び電子機器
KR101237011B1 (ko) 2005-08-02 2013-02-26 삼성디스플레이 주식회사 액정 표시 장치
KR101247698B1 (ko) 2005-08-05 2013-03-26 삼성디스플레이 주식회사 액정 표시 장치
TW200710521A (en) 2005-08-05 2007-03-16 Samsung Electronics Co Ltd Liquid crystal display
KR101240644B1 (ko) 2005-08-09 2013-03-11 삼성디스플레이 주식회사 박막 트랜지스터 표시판
KR20070019457A (ko) 2005-08-12 2007-02-15 삼성전자주식회사 박막 트랜지스터 표시판 및 이를 포함하는 액정표시장치
TWI340607B (en) 2005-08-12 2011-04-11 Au Optronics Corp Organic electroluminescent display panel and fabricating method thereof
US20070074757A1 (en) 2005-10-04 2007-04-05 Gurdian Industries Corp Method of making solar cell/module with porous silica antireflective coating
US8153282B2 (en) 2005-11-22 2012-04-10 Guardian Industries Corp. Solar cell with antireflective coating with graded layer including mixture of titanium oxide and silicon oxide
DE102006016474A1 (de) 2006-04-07 2007-10-11 Ivoclar Vivadent Ag Dentalmaterialien enthaltend hydrophobe, nanopartikuläre Kieselsäurecokondensate und deren Verwendung
US20080032052A1 (en) 2006-08-04 2008-02-07 Kostantinos Kourtakis Low refractive index composition
US20080072956A1 (en) 2006-09-07 2008-03-27 Guardian Industries Corp. Solar cell with antireflective coating comprising metal fluoride and/or silica and method of making same
DE102006046726A1 (de) 2006-10-02 2008-04-03 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Solarzelle mit strukturierter Rückseitenpassivierungsschicht aus SIOx und SINx sowie Verfahren zur Herstellung
US20080185041A1 (en) 2007-02-02 2008-08-07 Guardian Industries Corp. Method of making a photovoltaic device with antireflective coating containing porous silica and resulting product
JP2008205008A (ja) 2007-02-16 2008-09-04 Shin Etsu Chem Co Ltd 半導体層間絶縁膜形成用組成物とその製造方法、膜形成方法と半導体装置
TWI434891B (zh) * 2007-02-22 2014-04-21 Silecs Oy 積體電路用高矽含量矽氧烷聚合物
US8642246B2 (en) 2007-02-26 2014-02-04 Honeywell International Inc. Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof
US7767253B2 (en) 2007-03-09 2010-08-03 Guardian Industries Corp. Method of making a photovoltaic device with antireflective coating
JP5231748B2 (ja) 2007-04-03 2013-07-10 信越化学工業株式会社 ゼオライト微粒子の製造方法、安定化されたゼオライト及びその利用方法
JP5194563B2 (ja) 2007-05-28 2013-05-08 信越化学工業株式会社 耐擦傷性コーティング組成物、及び被覆物品
US20080295884A1 (en) 2007-05-29 2008-12-04 Sharma Pramod K Method of making a photovoltaic device or front substrate with barrier layer for use in same and resulting product
US20090026924A1 (en) 2007-07-23 2009-01-29 Leung Roger Y Methods of making low-refractive index and/or low-k organosilicate coatings
US7931940B2 (en) 2007-08-28 2011-04-26 Hoya Corporation Production method of silica aerogel film, anti-reflection coating and optical element
JP5218411B2 (ja) 2007-09-06 2013-06-26 コニカミノルタアドバンストレイヤー株式会社 光学フィルム、偏光板及び液晶表示装置
EP2188048A4 (en) 2007-09-21 2012-08-08 Chemwelltech Co Ltd PHOTOCATALYTIC ANTI-REFLECTION COMPOSITION AND THE COMPOSITE GLASS COMPOSITE COATED TO THE COMPOSITION
JP2009084476A (ja) 2007-10-01 2009-04-23 Koshin Chemical Kk コーティング用組成物及びその製造方法、並びに該コーティング用組成物からなる塗膜
US20090101209A1 (en) 2007-10-19 2009-04-23 Guardian Industries Corp. Method of making an antireflective silica coating, resulting product, and photovoltaic device comprising same
US20090101203A1 (en) 2007-10-23 2009-04-23 Guardian Industries Corp. Method of making an antireflective silica coating, resulting product, and photovoltaic device comprising same
US8586144B2 (en) 2008-03-25 2013-11-19 Pentax Ricoh Imaging Company, Ltd. Method for forming anti-reflection coating and optical element
US20100027144A1 (en) 2008-07-31 2010-02-04 Guardian Industries Corp. Articles with protective coating
US8092905B2 (en) 2008-10-10 2012-01-10 E.I Du Pont De Nemours And Company Compositions containing multifunctional nanoparticles
WO2010079495A1 (en) 2009-01-12 2010-07-15 Cleansun Energy Ltd. A substrate having a self cleaning anti-reflecting coating and method for its preparation
WO2010087233A1 (ja) * 2009-01-28 2010-08-05 Jsr株式会社 シリコン含有膜、樹脂組成物およびパターン形成方法
US8557877B2 (en) 2009-06-10 2013-10-15 Honeywell International Inc. Anti-reflective coatings for optically transparent substrates
JP2011002644A (ja) 2009-06-18 2011-01-06 Konica Minolta Business Technologies Inc 画像表示装置用表示粒子および画像表示装置
JP3154007U (ja) 2009-07-16 2009-09-24 岳雨 王 ペットつなぎ

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1255889A (zh) * 1997-04-10 2000-06-07 康宁股份有限公司 具有减反射涂层的光学制品、相应涂层材料和涂覆方法
CN1285837A (zh) * 1997-10-31 2001-02-28 联合讯号公司 用于生产多纳孔二氧化硅薄膜的醇基处理器
CN1417270A (zh) * 2001-09-12 2003-05-14 东洋合成工业株式会社 透明二氧化硅覆膜形成用涂覆溶液及透明二氧化硅覆膜的制造方法
CN1604943A (zh) * 2001-12-14 2005-04-06 旭化成株式会社 用于形成具有低折光指数薄膜的涂布组合物
CN1969023A (zh) * 2004-06-11 2007-05-23 东丽株式会社 硅氧烷系涂料、光学制品及硅氧烷系涂料的制备方法
CN101015045A (zh) * 2004-09-15 2007-08-08 霍尼韦尔国际公司 处理剂材料
CN101675133A (zh) * 2007-05-08 2010-03-17 中央硝子株式会社 可手工涂布的溶胶凝胶膜形成用涂布液
TW200940608A (en) * 2007-11-06 2009-10-01 Braggone Oy Carbosilane polymer compositions for anti-reflective coatings

Also Published As

Publication number Publication date
TWI546349B (zh) 2016-08-21
WO2012166333A3 (en) 2013-04-04
TW201300471A (zh) 2013-01-01
WO2012166333A2 (en) 2012-12-06
US20120308725A1 (en) 2012-12-06
US8864898B2 (en) 2014-10-21
CN103619965A (zh) 2014-03-05

Similar Documents

Publication Publication Date Title
CN102405533B (zh) 用于光学透明基板的抗反射涂层
CN103619965B (zh) 用于光学元件的涂料组合物
US7931940B2 (en) Production method of silica aerogel film, anti-reflection coating and optical element
KR101864458B1 (ko) 저굴절률막 형성용 조성물 및 이것을 사용한 저굴절률막의 형성 방법
CN103080254A (zh) 无机氧化物涂层
CN102421862A (zh) 紫外线吸收膜形成用涂布液及紫外线吸收用玻璃物品
US20160194451A1 (en) Composite of silicon oxide nanoparticles and silsesquioxane polymer, method for producing same, and composite material produced using composite thereof
CN103459139A (zh) 功能性物品、运输机械用物品、建筑用物品及涂布用组合物
CN104080869A (zh) 低折射率膜形成用组合物
TW201416402A (zh) 包含矽氧烷化合物的抗反射塗佈組合物以及利用該抗反射塗佈組合物調節表面能的抗反射膜
JPWO2018143371A1 (ja) 塗布組成物、反射防止膜及びその製造方法、積層体、並びに、太陽電池モジュール
EP3289394B1 (en) Optical functional film and method for producing the same
US20090004482A1 (en) Method of making a stabilized colloidal silica, compositions comprising the same, and coated articles including the same
JP2012246440A (ja) 無機コーティング組成物
CN105273622B (zh) 低折射率膜形成用组合物及其制法、低折射率膜的形成法
TWI496849B (zh) And a coating liquid for forming a film for spray coating and a film
CN104769058A (zh) 高度耐用的减反射涂层
KR102188211B1 (ko) 저굴절률막 형성용 조성물 및 그 제조 방법 그리고 저굴절률막의 형성 방법
WO2014203951A1 (ja) 金属酸化物膜形成用組成物及び金属酸化物膜

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20170208

Termination date: 20170514