CN1902546A - 填孔和光刻用的抗反射涂层及其制备方法 - Google Patents

填孔和光刻用的抗反射涂层及其制备方法 Download PDF

Info

Publication number
CN1902546A
CN1902546A CNA2004800401815A CN200480040181A CN1902546A CN 1902546 A CN1902546 A CN 1902546A CN A2004800401815 A CNA2004800401815 A CN A2004800401815A CN 200480040181 A CN200480040181 A CN 200480040181A CN 1902546 A CN1902546 A CN 1902546A
Authority
CN
China
Prior art keywords
composition
acid
absorbing
compound
group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800401815A
Other languages
English (en)
Other versions
CN1902546B (zh
Inventor
B·李
J·肯尼迪
N·伊瓦莫托
V·卢
R·梁
M·A·弗拉德金
M·A·胡塞恩
M·D·戈德纳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Honeywell International Inc
Original Assignee
Honeywell International Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=34619917&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=CN1902546(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Honeywell International Inc filed Critical Honeywell International Inc
Publication of CN1902546A publication Critical patent/CN1902546A/zh
Application granted granted Critical
Publication of CN1902546B publication Critical patent/CN1902546B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L83/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L83/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers
    • C08L83/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • C09D183/08Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen, and oxygen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0751Silicon-containing compounds used as adhesion-promoting additives or as means to improve adhesion
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement

Abstract

本文描述一种吸收组合物,它包括至少一种无机-基(的)化合物、至少一种吸收化合物,和至少一种材料改性剂。另外,还描述制造吸收组合物的方法,包括:a)合并至少一种无机-基化合物、至少一种吸收化合物、至少一种材料改性剂和酸/水混合物,以及一种或多种溶剂以形成反应混合物;以及b)让该反应混合物在室温下形成吸收组合物。另一种制造吸收组合物的方法包括:a)合并至少一种无机-基化合物、至少一种吸收化合物、至少一种材料改性剂和酸/水混合物,以及一种或多种溶剂以形成反应混合物;以及b)加热该反应混合物以形成吸收组合物。描述又一种制造吸收组合物的方法,包括:a)合并至少一种无机-基化合物、至少一种吸收化合物、至少一种材料改性剂,以及一种或多种溶剂以形成反应混合物,其中该至少一种材料改性剂包含至少一种酸和水;以及b)让该反应混合物形成吸材料、涂层或薄膜。在制造这里描述的吸收组合物的其它方法中,某些方法包括:a)合并至少一种无机-基化合物、至少一种吸收化合物、至少一种材料改性剂,以及一种或多种溶剂以形成反应混合物,其中该至少一种材料改性剂包含至少一种酸和水;以及b)让该反应混合物形成吸收材料、涂层或薄膜。

Description

填孔和光刻用的抗反射涂层及其制备方法
技术领域
本发明一般地说涉及抗反射/吸收组合物和涂料,更具体地说涉及用于光刻和填孔用途的抗反射/吸收组合物和涂料以及该材料的生产方法。
背景技术
为满足速度更快的要求,集成电路器件的各个特征的特征尺寸在不断缩小。具有较小特征尺寸的器件的制造给半导体制造传统上采用的许多方法带来新挑战。这些制造方法中最重要的之一就是光刻。
有机聚合物薄膜,特别是光刻胶曝光传统上采用的在i-线(365nm)和g-线(436nm)波长和最近使用的157nm、193nm和248nm波长吸收的那些薄膜,已经被用于或者正在被试验作为反射涂料。然而,有机ARC(抗反射涂料)与有机光刻胶在化学性质上有许多共同点这一事实可能限制可用加工顺序。再者,ARC,包括有机和无机ARC,能与光刻胶层互混。有机和无机ARC可能与光刻胶层混和,如果它们烘烤或固化得不充分的话。
避免互混的办法之一是引入热固性基料作为有机ARC的附加组分,正如,例如,美国专利5,693,691,授予Flaim等人,所描述的。染料也可加入到有机ARC中,还可任选地加入附加添加剂,例如,润湿剂、增粘剂、防腐剂和增塑剂,正如美国专利4,910.122,授予Amold等人,所描述的。为避免互混的另一尝试见诸于美国专利6,268,108,授予Iguchi等人。然而,Iguchi发现,成形抗反射涂层的组合物必须以光化活性射线辐照以便产生酸,后者再激活交联反应。尽管这些现有技术专利可能注意到与互混有关的某些事项,但是由偶合的ARC层造成的在光刻胶边缘缺乏86-~90-°一致性的问题尚未在现有技术中提及。
光刻胶和抗反射涂层还可能彼此影响到这样的程度,以致抗反射涂层和/或光刻胶材料的化学性质会导致在图案一旦显影到光刻胶内部之后将导致光刻胶“翻卷(fall over)”的程度。换句话说,在光刻胶显影后,形成图案的光刻胶侧壁不能保持与抗反射涂层成90-°角。相反地,光刻胶将与抗反射涂层交成120-°或80-°角。此种缺陷乃是光刻胶材料与抗反射涂层未必在化学上、物理上或机械上相容的标志。
光刻胶和抗反射涂层也可能具有不达标或不可接受的腐蚀选择性或剥裂(或去胶)选择性。腐蚀选择性和/或剥裂选择性不良可导致薄膜蚀刻速度过低。腐蚀选择性不良还可导致关键尺寸从印刷步骤到腐蚀步骤转移不良。曾通过提供具有能使硅烷化合物缩合成为特定硅烷化合物的取代基的高吸收物质来改善腐蚀速率的尝试,例如可参见日本专利申请号:2001-92122,200-04-06发表。然而,用此类反应性化合物获得的腐蚀选择性对于大多数光刻胶和抗反射涂层来说是不充分的,并要求不必要的额外化学反应步骤。
另外,光刻胶和抗反射涂层在充填通孔结构中的斜线(bias)和孔隙上常常遇到的困难已到了严重影响该平面的任何平面化度的地步。经常,提高腐蚀选择性和尽可能减少充填斜线(bias)和孔隙这两个目标彼此抵触,这说明回顾和理解每一类用途的目标是重要的。为充分充填和平面化通孔(via)阵列要求存在相对厚的抗反射涂层。如果ARC涂层是有机的,则此种厚涂层又将降低图案化的关键尺寸沿着薄膜叠层转移的转移精确性。
穿过低介电常数(小于约3)材料或超低介电常数(小于约2)的材料的“先通孔,后沟道(VFTL)”铜双波纹花纹成图可能是非常困难的。此类型图案成形的问题之一是牺牲(保护性)充填材料从低介电常数材料上的选择性去除。此前的工作表明,Si-O充填材料(紫外吸收或透明)是最佳材料平台,如果介电层是Si-O基的。
为改善牺牲充填材料的去除选择性,相对于介电材料,可用化学方法削弱它。可将porogen(成孔剂)或高沸点溶剂加入到充填材料中以削弱它;然而,为了达到对光刻胶显影剂的耐受性,该Si-O基充填材料或者需要加热到或在足以保证交联的高温下烘烤,或者必须降低porogen含量。这两种旨在达到耐光刻胶显影剂目的的方法在增强充填材料方面是奏效的,但充填材料的去除选择性却大大下降了。
一类可用作抗反射层的材料是含染料的旋涂玻璃(SOG)组合物。Yau等人,美国专利4,587,138公开一种染料,例如,碱性黄#11,以约1wt%的数量混入到旋涂玻璃中。Allman等人,美国专利5,100,503公开一种交联的聚有机硅氧烷,含有无机染料如TiO2、Cr2O7、MoO4、MnO4或ScO4,以及增粘剂。Allman另外还公开,旋涂玻璃组合物还可作为平面化层。然而,迄今公开的旋涂玻璃、染料组合对于深紫外,特别是248和193nm这样一些正在小特征尺寸器件生产中得到应用的光源的曝光不是最佳。另外,不是所有的染料都能轻易地结合到任何一种旋涂玻璃组合中。还有,即便这些ARC在化学上不同于前面提到的有机ARC,但偶合的光刻胶层仍然存在着显影后“翻卷”的问题,因为ARC层与光刻胶层在化学、物理和机械上不相容——这也是当试图偶合光刻胶材料与抗反射涂层时出现的共同问题。
在能a)在紫外光谱区强烈和均一地吸收;b)保持光刻胶材料不“翻卷”和膨胀到预期耐蚀线以外或向其内收缩以及c)光刻胶显影剂-不透的抗反射涂层,以及旋涂玻璃抗反射涂层的制备方法的研发中,Baldwin等人开发了几种比传统抗反射涂层优越的反射涂层,包括下列文献中公开的那些材料和涂层:美国专利6,268,457,2001-07-31授予;6,365,765,2002-04-02授予;6,368,400,2002-04-09授予;美国专利申请序列号:09/491166,2000-01-26提交;10/012651,2001-11-05提交;10/012649,2001-11-05提交;10/001143,2001-11-15提交;PCT申请序列号:PCT/US00/15772,2000-06-08提交;WO 02/06402,2001-07-12提交;PCT/US01/45306,2001-11-15提交;未决PCT申请,2002-10-31提交(序列号尚未指定);欧洲专利申请序列号00941275.0,2000-06-06提交;和01958953.0,2001-07-17提交,以上全部为共同受让并在此全文收作参考。然而,对于所有这些材料来说,若能改良文中所描述的材料、涂层和薄膜以改善腐蚀选择性和/或剥裂选择性、改进光刻性能和大大减少充填偏差(bias),那将是有益的。
因此,一种能a)在紫外光谱区强烈和均一地吸收;b)保持光刻胶材料不“翻卷”和膨胀到预期耐蚀线以外或向其内收缩以及c)光刻胶显影剂-不透;的吸收/抗反射涂层,以及所述SOG(旋涂玻璃)抗反射涂层的制备方法;d)能满足提高腐蚀选择性和/或剥裂选择性的任何目标并且e)能满足大大减少通孔结构中的充填偏差(bias)和空洞;f)能形成稳定和具有良好贮存期限的溶液;g)与各种光刻图案形成技术,包括采用ArF的那些,相容;h)能通过任何适当施涂方法,例如,旋涂或化学蒸汽沉积(CVD)施涂到表面上;i)能通孔充填和平面化;j)具有良好湿腐蚀和干腐蚀速率;并且k)能用于多种领域、元器件和材料,包括逻辑领域和闪速领域。
发明内容
本文描述一种吸收组合物,它包括至少一种无机-基(的)化合物、至少一种吸收化合物,和至少一种材料改性剂。
另外,还描述制造吸收组合物的方法,包括:a)合并至少一种无机-基化合物、至少一种吸收化合物、至少一种材料改性剂和酸/水混合物,以及一种或多种溶剂以形成反应混合物;以及b)让该反应混合物在室温下形成吸收组合物。
另一种制造吸收组合物的方法包括:a)合并至少一种无机-基化合物、至少一种吸收化合物、至少一种材料改性剂和酸/水混合物,以及一种或多种溶剂以形成反应混合物;以及b)加热该反应混合物以形成吸收组合物。
描述又一种制造吸收组合物的方法,包括:a)合并至少一种无机-基化合物、至少一种吸收化合物、至少一种材料改性剂,以及一种或多种溶剂以形成反应混合物,其中该至少一种材料改性剂包含至少一种酸和水;以及b)让该反应混合物形成吸材料、涂层或薄膜。
在制造这里描述的吸收组合物的其它方法中,某些方法包括:a)合并至少一种无机-基化合物、至少一种吸收化合物、至少一种材料改性剂,以及一种或多种溶剂以形成反应混合物,其中该至少一种材料改性剂包含至少一种酸和水;以及b)让该反应混合物形成吸材料、涂层或薄膜。
附图简述
图1显示想出的吸收化合物的化学式。
图2显示想出的实施方案的TGA(等温重量分析)分析数据。
图3显示想出的实施方案的TGA分析数据。
图4显示想出的实施方案的TGA分析数据。
图5显示想出的实施方案的TGA分析数据。
图6显示对想出的吸收组合物的湿腐蚀速率的影响。
图7显示想出的吸收组合物的湿腐蚀速率和薄膜性能数据。
图8显示想出的吸收组合物的湿腐蚀速率和薄膜性能数据。
图9显示想出的吸收组合物的湿腐蚀速率和薄膜性能数据。
图10显示想出的吸收组合物的湿腐蚀速率和薄膜性能数据。
图11显示想出的吸收组合物的湿腐蚀速率和薄膜性能数据。
图12显示想出的吸收组合物的湿腐蚀速率和薄膜性能数据。
图13显示想出的吸收组合物的湿腐蚀速率和薄膜性能数据。
图14显示想出的吸收组合物的湿腐蚀速率和薄膜性能数据。
图15显示想出的吸收组合物的湿腐蚀速率和薄膜性能数据。
图16显示想出的吸收组合物的溶液陈化研究。
图17显示想出的吸收组合物的溶液陈化研究。
图18显示想出的吸收组合物的溶液陈化研究。
图19显示想出的吸收组合物的溶液陈化研究。
图20显示想出的吸收组合物的溶液陈化研究。
图21显示想出的吸收组合物的溶液陈化研究。
图22显示想出的吸收组合物的溶液陈化研究。
图23显示想出的吸收组合物的溶液陈化研究。
图24显示想出的吸收组合物的溶液陈化研究。
图25显示想出的吸收组合物的溶液陈化研究。
图26显示想出的实施方案的水接触角、n和k数据以及分子量数据。
图27显示想出的实施方案的FTIR数据。
表1显示采用几种想出的吸收组合物显影的温度矩阵。
表2显示采用几种想出的吸收组合物显影的温度矩阵。
表3显示采用几种想出的吸收组合物显影的温度矩阵。
表4显示采用几种想出的吸收组合物显影的温度矩阵。
表5显示采用几种想出的吸收组合物显影的温度矩阵。
表6显示采用几种想出的吸收组合物显影的温度矩阵。
表7显示采用几种想出的吸收组合物显影的温度矩阵。
表8显示采用几种想出的吸收组合物显影的温度矩阵。
表9显示采用几种想出的吸收组合物显影的温度矩阵。
表10显示收集的原始数据,展示想出的吸收组合物的湿腐蚀速率。
表11显示收集的原始数据,展示想出的吸收组合物的湿腐蚀速率。
表12显示想出的吸收组合物的含氮量。
表13显示几种想出的吸收组合物的湿腐蚀速率数据和薄膜性能数据。
表14显示显示想出的吸收组合物的溶液陈化研究结果。
表15显示显示想出的吸收组合物的薄膜陈化研究结果。
表16显示显示想出的吸收组合物的薄膜陈化研究结果。
表17显示想出的吸收组合物的湿腐蚀速率研究数据。
表18显示想出的吸收组合物的湿腐蚀速率研究数据。
表19显示想出的吸收组合物的湿腐蚀速率研究数据。
表20显示想出的吸收组合物的分子量增长和陈化研究。
表21显示想出的吸收组合物的湿腐蚀速率研究数据。
表22显示想出的实施方案的水接触角、n和k数据以及分子量数据。
表23显示想出的实施方案的水接触角、n和k数据以及分子量数据。
表24显示想出的吸收组合物的湿腐蚀速率研究数据。
具体实施方式
现已研发出一种吸收/抗反射涂料和光刻材料,它a)在紫外光谱区强烈和均一地吸收;b)保持光刻胶材料不“翻卷”和膨胀到预期耐蚀线以外或向其内收缩以及c)光刻胶显影剂-不透,以及所述SOG(旋涂玻璃)抗反射涂层的制备方法;d)能满足提高腐蚀选择性和/或剥裂选择性的任何目标并且e)能满足大大减少通孔结构中的充填偏差(bias)和空洞;f)能形成稳定和具有良好贮存期限的溶液;g)与各种光刻图案形成技术,包括采用ArF的那些,相容;h)能通过任何适当施涂方法施涂,例如,旋涂或化学蒸汽沉积(CVD)施涂到表面上;i)能通孔充填和平面化;j)具有良好湿腐蚀和干腐蚀速率;并且k)能用于多种领域、元器件和材料,包括逻辑领域和闪速领域。
这里想出的一种吸收组合物和/或涂料包括至少一种无机-基化合物和/或材料、至少一种吸收化合物和/或材料,和至少一种材料改性剂。该至少一种材料改性剂可包括任何能改变涂料以改进所成膜或层状材料的光刻、相容和/或物理品质,例如,通过改进腐蚀选择性和/或剥裂选择性,通过大大减少充填偏差(bias),通过促使材料/组合物的去除和/或改进其稳定性或贮存期限。该至少一种材料改性剂可包含至少一种增粘剂、至少一种pH调节剂、至少一种porogen、至少一种流平剂、至少一种高沸点溶剂、至少一种交联剂、至少一种催化剂、至少一种封端剂和/或其组合。令人惊奇的是,至少在某些实施方案中,该材料改性剂(例如,至少一种增粘剂)包含一种被工业界视为对光刻有毒的试剂的化合物和组合物,并因此避免使用,然而其在这里所描述的实施方案中的使用却能改善光刻组合物的粘附力而不会毒化组合物。
在一种设想的实施方案中,该材料改性剂的加入将改善腐蚀速率、贮存期限、组合物的平面化和/或通孔充填,或其可测定的光刻性能至少之一的能力至少25%,与同样的组合物但没有该附加材料改性剂相比。在其它设想的实施方案中,该材料改性剂的加入将改善腐蚀速率、贮存期限、组合物的平面化和/或通孔充填,或可测定光刻性能至少之一的能力至少50%,与同样的组合物但没有该附加材料改性剂相比。在另一些其它设想的实施方案中,该材料改性剂的加入将改善腐蚀速率、贮存期限、组合物的平面化和/或通孔充填,或可测定光刻性能至少之一的能力至少75%,与同样的组合物但没有该附加材料改性剂相比。
无机-基材料和无机化合物
这里想到无机-基化合物和/或材料和/或想到的旋涂无机-基化合物和/或材料,例如,硅-基、镓-基、锗-基、砷-基、硼-基化合物或其组合。硅-基化合物的例子包含硅氧烷化合物,例如,甲基硅氧烷、甲基硅倍半氧烷、苯基硅氧烷、苯基硅倍半氧烷、甲基苯基硅氧烷、甲基苯基硅倍半氧烷、硅氮烷聚合物、二甲基硅氧烷、二苯基硅氧烷、甲基苯基硅氧烷、硅酸盐聚合物、硅酸衍生物及其混合物。想到的硅氮烷聚合物是全氢硅氮烷,它具有“透明”的聚合物主链。
这里所使用的无机-基材料、无机化合物和旋涂玻璃材料还包括硅氧烷聚合物和嵌段聚合物、通式为(H0-1.0SiO1.5-2.0)x的氢硅氧烷聚合物、通式为(HsiO1.5)x的氢硅倍半氧烷聚合物,其中x大于约4,以及硅酸的衍生物。还包括氢硅倍半氧烷与烷氧基氢化(hydrido)硅氧烷或羟基氢化(hydrido)硅氧烷的共聚物。这里设想的材料另外还包括有机硅氧烷聚合物、丙烯酸硅氧烷聚合物、硅倍半氧烷基聚合物、硅酸的衍生物、通式为(H0-1.0SiO1.5-2.0)n(R0-1.0SiO1.5-2.0)m的有机氢化(hydrido)硅氧烷聚合物和通式为(HsiO1.5)n(RsiO1.5)m的有机氢化(hydrido)硅倍半氧烷聚合物,其中m大于0并且n和m之和大于约4且R是烷基或芳基。某些有用的有机氢化(hydrido)硅氧烷聚合物的m与n之和介于约4~约5000,其中R是C1~C20烷基基团或C6~C12芳基基团。某些具体的例子包括烷基氢化(hydrido)硅氧烷,例如,甲基氢化硅氧烷、乙基氢化硅氧烷、丙基氢化硅氧烷、叔丁基氢化硅氧烷、苯基氢化硅氧烷;和烷基氢化硅倍半氧烷,例如,甲基氢硅化倍半氧烷、乙基氢化硅倍半氧烷、丙基氢化硅倍半氧烷、叔丁基氢化硅倍半氧烷、苯基氢化硅倍半氧烷及其组合。
这里所使用的术语“旋涂材料”、“旋涂组合物”和“旋涂无机组合物”可互换使用,指的是可旋涂到基材或表面上的那些溶液和组合物。还想到,术语“旋涂玻璃材料”是指旋涂无机材料“的子类,意指,旋涂玻璃材料指的是部分或全部包含硅-基化合物和/或聚合物的那些旋涂材料。然而要知道,想到的这些材料和组合物可通过适当方法或设备施涂到表面上,包括旋涂沉积、蒸汽沉积、化学蒸汽沉积之类的方法。
在某些想到的实施方案中,这里使用的特定有机氢化硅氧烷树脂
具有下列通式:
[H-Si1.5]n[R-SiO1.5]m                         式(1)
[H0.5-Si1.5-1.8]n[R0.5-1.0-SiO1.5-1.8]m          式(2)
[H0-1.0-Si1.5]n[R-SiO1.5]m                    式(3)
[H-Si1.5]x[R-SiO1.5]y[SiO2]z               式(4)
其中:
n与m之和,或x、y和z之和介于约8~约5000,并且m或y选择为使含碳羟端基以小于约40%的数量(低有机含量=LOSP)或者以大于约40%的数量(高有机含量=HOSP)存在的数值;R选自取代的和未取代的直链或支化烷基(甲基、乙基、丁基、丙基、戊基)、链烯基基团(乙烯基、烯丙基、异丙烯基)、环烷基、环烯基基团,芳基(苯基基团、苄基基团、萘基基团、蒽基基团和菲基基团)及其混合物;且其中含碳取代基的具体摩尔百分数是原料数量比的函数。在某些LOSP实施方案中,特别优选的结果在含碳取代基的摩尔百分数介于约15mol%~约25mol%之间的范围获得。在某些HOSP实施方案中,优选的结果在含碳取代基的摩尔百分数介于约55mol%~约75mol%之间的范围获得。
几种想到的聚合物包含的聚合物主链包含交替的硅和氧原子。与以前公知的有机硅氧烷树脂成对照,某些这里使用的聚合物和无机-基组合物和材料基本上不具有键合在主链硅原子上的羟基或烷氧基基团。相反,每个硅原子,除了(键合在)上面提到的主链氧原子之外,仅键合在氢原子和/或通式1、2、3和4中定义的R基团上。由于在聚合物中主链硅原子上仅直接连接氢和/或R基团,就避免了不希望的链增长和交联。而鉴于,特别是,不希望的链增长和交联在本发明树脂中得以避免,此种树脂溶液的贮存期限比以前公知的有机硅氧烷树脂延长了。再者,鉴于硅碳键的反应性比硅氢键的小,因此这里描述的有机氢化硅氧烷的贮存期限比以前公知的氢化硅氧烷树脂延长了。
某些想出的上面提到的那些化合物和生产它们的方法公开在同一受让人的美国专利6,143,855和未决美国序列号10/078919,2002-02-19提交;Honeywell国际公司的市售供应HOSP产品;纳米多孔二氧化硅如同一受让人的美国专利6,372,666公开的;Honeywell国际公司的市售供应NANOGLASSE产品;有机硅倍半氧烷,公开在同一受让人的WO 01/29052中;以及氟硅倍半氧烷,同一受让人的美国专利6,440,550,在此全文收作参考。想到的其它化合物描述在下面授予的专利和未决申请中,在此将它们全文收作参考;(PCT/US00/15772,2000-06-08授予;美国申请序列号09/330248,1999-06-10提交;美国申请序列号09/491166,1999-06-10提交;美国专利6,365,765,2002-04-02授予;美国专利6,268,457,2001-07-31授予;美国申请序列号10/001143,2001-11-10提交;美国专利序列号09/491166,2000-01-26提交;PCT/US00/00523,1999-01-07提交;美国专利6,177,199,2001-01-23授予;美国专利6,358,559,2002-03-19授予;美国专利6,218,020,2001-04-17授予;美国专利6,361,820,2002-03-26授予;美国专利6,218,497,2001-04-17授予;美国专利6,359,099,2002-03-19授予;美国专利6,143,855,2000-11-07授予;美国申请序列号09/611528,1998-03-20提交;美国申请序列号60/043,261)。这里想到的二氧化硅化合物见诸于美国授予的专利:6,022,812;6,037,275;6,042,994;6,048,804;6,090,448;6,126,733;6,140,254;6,204,202;6,208,041;6,318,124和6,319,855。
在某些想出的实施方案中,聚合物主链的构象是笼形构型。因此,仅有非常低水平或反应性链端部分在该聚合物树脂中,鉴于是笼形构象。聚合物主链的笼形构象还保证在溶液中将不发生不希望的链增长,从而导致贮存期限的延长。聚合物的每个硅原子键合在至少3个氧原子上。键合在聚合物主链上的部分包括氢和这里描述的有机基团。这里所使用的术语“主链”指的是形成聚合物线的原子和部分的邻接链,它们以共价键结合,因此任何这些原子和部分的去除都将导致链的中断。
这里所使用的术语“单体”是指任何能以重复方式与自身形成共价键或者与化学上不同的化合物形成化学化合物。单体之间重复的键生成,例如,通过自由基聚合反应、缩聚、加聚之类反应,可导致线型、支化、超-支化,和三维产物的生成。另外,单体本身可包含重复嵌段,当聚合时,由此种单体生成的聚合物相应地被称之为“嵌段聚合物”。单体可属于各种不同类别的分子,包括有机、有机金属或无机分子。单体的分子量可在宽范围内变化,从约4道尔顿~20000道尔顿。然而,尤其当单体包含重复嵌段时,单体可具有尤其高的分子量。单体也可包括附加基团,例如,用于交联的基团。
在某些想出的实施方案中,可改变无机-基化合物的分子量(Mw或者Mn)来改变材料的溶解性。进而,改变材料的溶解性有助于防止空洞形成和提高材料的平面化能力。
吸收化合物
如前面所述,想到的材料包含至少一种吸收化合物和/或材料。许多萘-、菲-、和蒽-基化合物具有在248nm或更低波长的显著吸收。苯-基化合物在短于200nm的波长具有显著吸收。虽然这些萘-、菲-、蒽-和苯-基化合物通常被称作染料,但是这里之所以采用术语吸收化合物是因为,这些化合物的吸收不限于光谱的可见范围内的波长。然而,不是所有此类吸收化合物都可结合到作为抗反射涂料的无机-基材料中。优选的适合使用的吸收化合物具有中心位于例如可用于光刻的248nm、193nm、157nm或其它紫外波长,例如,365nm波长附近的可定义吸收峰。已想到,合适的“可定义吸收峰”是宽度至少是0.5nm的峰,其中宽度是采用光刻技术中普遍公知的那些方法计算的。在较优选的实施方案中,可定义吸收峰至少是1nm宽。在进一步优选的实施方案中,可定义吸收峰至少是5nm宽。在最优选的实施方案中,可定义吸收峰至少是10nm宽。
合适的吸收化合物的生色团通常具有至少一个苯环,而在有2或更多个苯环的情况下,这些环可以也可以不是稠合的。可结合的吸收化合物具有连接在生色团上的可接近的反应性基团,其中反应性基团包括羟基基团、胺基团、羧酸基团和取代的甲硅烷基基团,其中硅原子键合在1、2或3个“离去基团”如烷氧基基团或卤素原子。乙氧基或甲氧基基团或氯原子常常被用作离去基团。设想的反应性基团包含硅烷氧基、硅二烷氧基和硅三烷氧基基团,例如,硅乙氧基、硅二乙氧基、硅三乙氧基、硅甲氧基、硅二甲氧基和硅三甲氧基基团以及卤代甲硅烷基基团,例如,氯甲硅烷基、二氯甲硅烷基和三氯甲硅烷基基团,和乙酰氧基基团像甲基三乙酰氧基硅烷、四乙酰氧基硅烷。
反应性基团可直接键合在生色团上,正如,例如在苯基三乙氧基硅烷中那样,或者反应性基团可通过酯、酮和/或氧键或烃桥,连接在生色团上,例如,像在9-蒽羧基-烷基三烷氧基硅烷中那样。硅三烷氧基基团在生色团上的加入据发现是有利的,尤其是能促使吸收SOG薄膜稳定。其它有用的吸收化合物是包含偶氮基团,-N=N-,的那些和可接近的反应性基团,特别是包含连接苯环的偶氮基团的那些,尤其当具体用途要求365nm附近的吸收时。偶氮基团可作为直链分子、环状分子或混杂直链/或者分子的一部分被包括在内。
吸收化合物可填隙地结合在无机-基材料基质内。吸收化合物也可化学地键合在无机-基材料或聚合物中。在某些设想的实施方案中,可加入的吸收化合物通过可接近的反应性基团形成与无机-基材料主链或聚合物主链的键。
吸收化合物和材料也可包含硅-基化合物和能在小于约375nm的波长吸收光的不可结合的吸收化合物。另外,还想到,在其它实施方案中,硅-基化合物或不可结合的吸收化合物至少之一包含至少一个烷基基团、烷氧基基团、酮基团、乙酰氧基基团或偶氮基团。
适合使用的吸收化合物的例子包括在小于约375nm,例如,365nm、248nm、193nm和157nm,的波长附近具有可定义吸收峰的那些吸收化合物,包括化合物,例如,2,6-二羟蒽醌(1)、9-蒽甲酸(2)、9-蒽甲醇(3)、9-蒽乙醇(4)9-蒽丙醇(5)、9-蒽丁醇(6)、茜素(7)、醌茜(8)、樱草灵(9)、2-羟基-4-(3-三乙氧基甲硅烷基丙氧基)-二苯基甲酮(10)、2-羟基-4-(3-三甲氧基甲硅烷基丙氧基)-二苯基甲酮(11)、2-羟基-4-(3-三丁氧基甲硅烷基丙氧基)-二苯基甲酮(12)、2-羟基-4-(3-三丙氧基甲硅烷基丙氧基)-二苯基甲酮(13)、玫瑰酸(14)、三乙氧基甲硅烷基丙基-1,8-萘二甲酰亚胺(15)、三甲氧基甲硅烷基丙基-1,8-萘二甲酰亚胺(16)、三丙氧基甲硅烷基苯基-1,8-萘二甲酰亚胺(17)、9-蒽羧基-甲基三乙氧基硅烷(18)、9-蒽羧基乙基三乙氧基硅烷(19)、蒽羧基-丁基三乙氧基硅烷(20)、9-蒽羧基-丙基三乙氧基硅烷(21)、9-蒽羧基-甲基三甲氧基硅烷(22)、9-蒽羧基-乙基三丁氧基硅烷(23)、9-蒽羧基-甲基三丙氧基硅烷(24)、9-蒽羧基-丙基三甲氧基硅烷(25)、苯基三乙氧基硅烷(26)、苯基三甲氧基硅烷(27)、苯基三丙氧基硅烷(28)、10-菲羧基-甲基三乙氧基硅烷(29)、10-菲羧基-乙基三乙氧基硅烷(30)、10-菲羧基-甲基三甲氧基硅烷(31)、10-菲羧基-丙基三乙氧基硅烷(32)、4-苯基偶氮酚(33)、4-乙氧基苯基偶氮苯-4-羧基-甲基三乙氧基硅烷(34)、4-甲氧基苯基偶氮苯-4-羧基-乙基三乙氧基硅烷(35)、4-乙氧基苯基偶氮苯-4-羧基-丙基三乙氧基硅烷(36)、4-丁氧基苯基偶氮苯-4-羧基-丙基三乙氧基硅烷(37)、4-甲氧基苯基偶氮苯-4-羧基-甲基三乙氧基硅烷(38)、4-乙氧基苯基偶氮苯-4-羧基-甲基三乙氧基硅烷(39)、4-甲氧基苯基偶氮苯-4-羧基-乙基三乙氧基硅烷(40)、4-甲氧基苯基偶氮苯-4-羧基-丙基三乙氧基硅烷(41)及其组合。吸收化合物1~41的化学式展示在图1a~1f中。有利的结果已通过,例如,利用9-蒽羧基-甲基三乙氧基硅烷(18)与9-蒽甲醇(3)、2-羟基-4-(3-三乙氧基甲硅烷基丙基)-二苯基甲酮(10)和玫瑰酸(14)的组合,以及用苯基三乙氧基硅烷(26)获得。然而应当指出,这一具体化合物的清单不是穷尽的列表,而想出的化合物可选自包含这些基团化合物的更宽化学化合物类别。还应了解,合适的吸收化合物可以是有机-基或者无机-基化合物。在某些想出的实施方案中,吸收化合物可以是有机-基的,只要该ARC不与光刻胶共享同一化学性质,因为这将限制可用的加工顺序。然而,光刻胶材料的类别可以是宽广的,因为材料改性剂在吸收组合物和/或涂料中的加入,例如,pH调节剂的加入,有可能使任何光刻胶材料与吸收材料和/或吸收涂料变得匹配,使它们彼此相容。某些想到的光刻胶材料的例子包含丙烯酸酯-基的光刻胶材料、环氧-基化学放大的光刻胶、氟聚合物光刻胶(当考虑157nm吸收波长时尤其有用)、聚(降冰片烯-马来酐)交替共聚物、聚苯乙烯体系和二偶氮萘醌/线型酚醛光刻胶。
吸收化合物1~25和29~41有市售供应,例如,从Aldrich化学公司(密尔沃基,WI)、9-蒽羧基-烷基三烷氧基硅烷可采用酯化方法合成,例如详细描述在PCT专利申请序列号PCT/US02/36327,2002-11-12提交,该文献为同一拥有人的,在此将其全文收作参考,包括所有有关和共同拥有的外国和本国授予的专利和专利申请。吸收化合物26~28由Gelest公司(Tullytown,PA)市售供应。除了吸收化合物(26~28)之外的苯基基吸收化合物,其中许多也可从Gelest公司购得,的例子包括这样的结构:其中硅-基反应性基团连接在苯环上或连接在取代的苯基上,例如,甲基苯基、氯苯基,和氯甲基苯基。具体的苯基基吸收化合物包括苯基三甲氧基硅烷、苄基三氯硅烷、氯甲基苯基三甲氧基硅烷、苯基三氟硅烷,仅举数例而已。包括1或2个“离去基团”的二苯基硅烷,例如,二苯基甲基乙氧基硅烷、二苯基二乙氧基硅烷和二苯基二氯硅烷,同样仅举数例而已,也是合适的可结合的吸收化合物。烷氧基苯甲酸也可用作吸收化合物,包括甲氧基苯甲酸。
合成9-蒽羧基-烷基三烷氧基硅烷化合物的一般方法包括采用9-蒽甲酸和氯甲基三烷氧基硅烷化合物作为反应物。具体地说,合成9-蒽羧基-甲基三乙氧基硅烷(18)的方法采用9-蒽甲酸(2)和氯甲基三乙氧基硅烷作为反应物。该反应物与预先在4埃分子筛上干燥的三乙胺和甲基异丁基甲酮(MIBK)混和,形成的反应混合物加热至回流,并回流约6~10h。回流后,反应混合物冷却过夜,从而导致大量固体沉淀。剩下的溶液被旋转蒸发,通过硅胶柱过滤,再第二次旋转蒸发,生成9-蒽羧基-甲基三乙氧基硅烷(18)呈深琥珀色油状液体,然后可进行提纯。该方法之所以重要是因为它适合用来生产9-蒽羧基-烷基三烷氧基硅烷类内的任何化合物,包括9-蒽羧基-乙基三乙氧基硅烷、9-蒽羧基-丙基三甲氧基硅烷和9-蒽羧基-丙基三乙氧基硅烷。
材料改性剂
如上所述,这里想出的吸收组合物和/或涂料包含至少一种材料改性剂。该至少一种材料改性剂可包括任何能改性涂料以改善制成的薄膜或层状材料的光刻、相容性和/或物理品质的化合物或组合物,例如,通过改善组合物的腐蚀选择性稳定性或贮存期限、粘附性和/或剥裂选择性或者通过大大减少充填偏差(bias)。该至少一种材料改性剂可包含至少一种增粘剂、至少一种pH调节剂、至少一种porogen、至少一种高沸点溶剂、至少一种交联剂、至少一种催化剂、至少一种封端剂和/或其组合。
增粘剂
在某些想出的实施方案中,至少一种增粘剂包含下列特征的至少之一:a)热处理后热稳定,例如,烘烤、在电子和半导体元器件制造中通常采用的温度下的热稳定(参见实例2和图2~5);b)具有相对低的催化能力,即,给体不在它所加入的组合物中引发显著的交联活动;c)相对中性,以致组合物维持为低pH值;d)呈酸性,以便降低组合物的pH值;e)不引发或传播能增加它所加入的组合物中化学种的分子量的反应;f)能通过促进材料层间静电和库仑相互作用,而不是传统上理解的范德华相互作用,起到惊人的增粘剂作用。
要在旨在对紫外区具有低吸收性的有机光刻胶聚合物上粘附本质上就很困难,因为此种光刻胶被设计成具有低极性和具有很少能与之达到粘附相互作用的官能团。二氧化硅-基制剂的粘附机理,尤其是对这些有机光刻胶聚合物的,服从2种途经之一:a)由于减少硅醇含量和增加范德华相互作用而增粘,和b)由于增加离子贡献如静电和库仑相互作用而增粘。
令人惊奇的是,现已发现,后一种机理占主导地位,尽管二者都起作用。在想出的实施方案中,加入至少一种增粘剂,例如,至少一种弱酸/弱碱、至少一种弱酸/强碱、至少一种强酸/强碱、至少一种强酸/弱碱、至少一种胺碱、至少一种胺盐或其组合,能增加静电和库仑相互作用。模拟和实验结果都表明,胺的盐,而不是中性(非离子)形式,能使与光刻胶的粘附力增加到足以防止光刻规定的光刻胶线垮塌的程度。此种粘附力的增强表现在生成了APTEOS硝酸盐的较高pH胺盐制剂(例如,pH5.5的制剂)的成功应用上。此种机理也可在使用其它胺盐时见到,例如:APTEOS乙酸盐、APTEOS磺酸盐、APTEOS甲磺酸盐、APTEOS三氟甲磺酸盐、APTEOS甲苯磺酸盐、APTEOS九氟丁烷-1-磺酸盐(nfbs)、四甲基氢氧化铵、四甲基铵乙酸盐、四甲基铵硝酸盐、四甲基铵硫酸盐、四甲基铵甲磺酸盐、四乙基铵三氟甲磺酸盐、四甲基铵甲苯磺酸盐、四甲基铵nfbs、四甲基铵三氟甲磺酸盐、硝酸铵、乙酸铵、三氟甲磺酸铵、甲苯磺酸铵、磺酸铵、甲磺酸铵或任何其它胺盐或胺盐的组合。合适的胺碱包含铵、吡啶、苯胺、TMAH、CTAH、TBAH、APTEOS或其组合。模拟的粘附能表明,高级离子盐(较高带电中心数)对附着力的提高效果要好于电荷分布得比较分散的那些如在具有大R基团的铵中心中那样。(参见下表1)模拟实验采用的机理和设备是公开在下面文献中的那些:美国专利6,544,650,授予Nancy Iwamoto,和美国申请序列号:09/543,628;10/113,461;10/326,233和相关的PCT申请,例如,PCT/US03/07607,以及外国申请,这些全部共同属于Honeywell国际公司拥有,在此将其全文收作参考。
  粘附力J/m2
  Lo J/m2
  高硅醇含量DUV 193对降冰片烯-基光刻胶的粘附力   3.74
  低硅醇含量DUV 193对降冰片烯-基光刻胶的粘附力   5.66
  对高硅醇含量DUV 193的添加剂   粘附力J/m2
  三乙烯基硅烷   4.05
  鲸蜡基四甲基铵氢氧化物   2.57
  加入的tmah(tmah硝酸盐)   5.18
  py硝酸盐   5.72
  三甲基锍甲基硫酸盐   4.70
  四甲基铵苯磺酸盐   5.50
  四甲基铵苯磺酸盐   5.20
  四甲基铵甲苯磺酸盐   3.9
  四甲基铵三氟甲磺酸盐   4.5
  apteos三氟甲磺酸盐   5.2
  apteos甲磺酸盐   5.2
  三氟甲磺酸铵   6
  硝酸铵   6
  甲苯磺酸铵   4.8
  甲磺酸铵   5.3
  apteos硝酸盐   4.9
  apteos甲苯磺酸盐   2.9
  氢氧化铵   3.8
  apteos三氟甲磺酸盐.5分子水   3.82
  三氟甲磺酸铵(5分子水)   3.88
  三氟甲磺酸铵(2分子水)   5.85
  apteos硝酸盐,加入但未键合   3.85
  apteos,不是盐,键合(与达到4.9的apteos硝酸盐比较)   3.08
  三氟甲磺酸铵+三氟甲磺酸铵   8.3
  apteos三氟甲磺酸盐+三氟甲磺酸铵   6.1
  apteos三氟甲磺酸盐+apteos三氟甲磺酸盐   5.1
                         表1
这里所使用的术语“增粘剂”是指任何组分,只要当与目标组合物配合使用时,能改善目标组合物对基材和/或某表面的粘附力,以单独采用目标组合物为基准。优选的是,该至少一种增粘剂与这里描述的涂料或组合物配合使用,其中该至少一种增粘剂起到材料改性剂的作用。目标组合物可包含任何能或被施涂到基材、表面、多层表面、电子或半导体元器件上的组合物,包括吸收组合物、涂料和/或可热降解聚合物。增粘剂可以是与可热降解聚合物前体起反应的共聚单体或是加入到可热降解聚合物前体中的添加剂。几种有用的增粘剂的例子公开在同一受让人的未决美国申请序列号10/158513中,2002-05-30授予,在此全文收作参考。
另外,粘附力的提高是浓度控制的所以任何有助于使胺盐集中在二氧化硅-光刻胶界面处的程序都将有助于粘附。一个简单的解决方案是增加引入到制剂中的盐种的数量。另一种解决方案是在光刻胶沉积之前用浓盐溶液“预润湿”。这方面的其它程序包括:通过控制溶剂来控制盐的溶剂化程度;旋涂或烘烤期间控制溶剂的蒸发;在光刻胶中加入控制盐溶解度的溶解度控制剂,和在光刻胶中加入铵种。
模拟表明,可以使用盐的混合物,其效力与单一组分一样。此种混合盐增粘剂方案在要求增加有机胺以达到溶剂相容时可采用。在此工况中,可在取代的铵上采用较大R基团,而粘附力的损失可通过加入更多带电中心如铵,来补偿。
如上所述,想出的增粘剂可包含氮、磷或任何其它类似特征的原子。想出的增粘剂可包含中性或酸性化合物或分子,例如,胺盐、甲基铵硝酸盐、四甲基铵乙酸盐(TMAA)、四丁基铵乙酸盐(TBAA)、鲸蜡基三甲基铵乙酸盐(CTAA),和四甲基铵硝酸盐(TMAN)。TMAN可通过将TMAN溶解在水中或利用硝酸将TMAA或TMAN转化为TMAN来制取。想出的盐包含由强酸和伯、仲、叔或季铵生成的那些盐。
在某些实施方案中,含氮吸收组合物和/或涂料中的“氮的摩尔数/硅-化合物重量的比值(ppm)”大于约0.01。在其它实施方案中,含氮吸收组合物和/或涂料中的“氮的摩尔数/硅-化合物重量的比值(ppm)”大于约3。在又一种实施方案中,含氮吸收组合物和/或涂料中的“氮的摩尔数/硅-化合物重量的比值(ppm)”大于约4。最佳比值取决于涂料/组合物技术人员对几种性质的评估,例如,材料/组合物中存在的有机部分的数量、材料/组合物中存在的交联度和材料/组合物的pH值;然而,应当理解,该比值对含氮组合物的光刻性能和通孔充填性能的影响程度要比对含氮组合物的任何其它前面提到的材料/组合物性能更大。还应了解,根据有机部分的存在量、存在的交联度和/或材料/组合物的pH值,可找出一种合适的摩尔/重量比值并用以生产这里想出的吸收组合物和/或涂料。这些性能载于下表2中:
                             表2
  N mole/wt(ppm)   BOE湿剥裂速率(A/min)   水接触角
  193nm吸收组合物,pH等于约5.5(1070ppm apteos)   4.834   ~560   67
  改性硅烷pH5.5(1070ppm)   4.834   <100   74
  193nm吸收组合物,pH等于约1.5+600ppm,按TMAA计   4.505   ~200   77.5
  改性硅烷pH3.0(400ppm)   1.807   ~100   72
  193nm吸收组合物,pH等于约3.0(400ppm)   1.807   >800(估计)   -71
  193nm吸收组合物,pH等于约2(130ppm)   0.587   >800(估计)   69.5
  193nm吸收组合物,pH等于约1.5+30ppm,TMAA   0.225   500   69
  193nm吸收组合物,pH等于约1.5   0.000   >1000   64
至少一种增粘剂作为材料改性剂的加入可改善光刻、湿腐蚀和通孔充填,如表2所示。下面的实例部分展示有关这些想出的实施方案的附加数据。如上面指出的,应理解,该至少一种增粘剂还可作为交联剂或催化剂起作用。
这里想出的增粘剂还包含具有至少双官能性的化合物,其中双官能性可相同或不同,并且第一官能性和第二官能性至少之一选自含硅基团;含氮基团;含键合在C上的O的基团;羟基基团;和含碳-碳双键的基团。这里所使用的术语“具有至少双官能性”的化合物是指具有至少两个,如下所述,能相互作用或起反应,或者形成键的官能团的化合物。这些官能团可以多种方式起反应,包括加成反应、亲和及亲电取代或消除、自由基反应等。进一步的替代反应还可包括非共价键的生成,如范德华、静电键合、离子键和氢键。
在至少一种增粘剂的某些实施方案中,优选地,第一官能性和第二根挠性至少之一选自含硅基团;含氮基团;含键合在C上的O的基团;羟基基团;和含碳-碳双键的基团。优选的是,含硅基团选自Si-H、Si-O和Si-N;含氮基团选自,例如,C-NH2或其它仲和叔胺、亚胺、酰胺和酰亚胺;含键合在C上的O的基团选自=CO、羰基基团如酮和醛、酯、-COOH、具有1~5个碳原子的烷氧基、醚、缩水甘油基醚;和环氧;羟基基团是苯酚;而含碳-碳双键的基团选自烯丙基和乙烯基基团。用于半导体领域,较优选的官能团包括含硅基团;含键合在C上的O的基团;羟基基团;和乙烯基基团。
想出的增粘剂还可包含另外还包含含酚树脂、线型酚醛树脂,如CRJ-406或HRJ-11040(两种都由Schenectady国际公司供应)、有机丙烯酸酯和/或苯乙烯树脂的有机树脂-基材料。其它增粘剂还包括聚二甲基硅氧烷材料、含乙氧基或羟基的硅烷单体、含乙烯基硅烷单体、丙烯酸酯化的硅烷单体或甲硅烷基氢化物。
具有含硅基团的想出的增粘剂的例子是通式I的硅烷:(R14)k(R15)lSi(R16)m(R17)n,其中R14、R15、R16和R17各自独立地代表氢、羟基、不饱和或饱和烷基、取代的或未取代的烷基,其中取代基是氨基或环氧,饱和或不饱和烷氧基、不饱和或饱和的羧酸基团,或者芳基;R14、R15、R16和R17至少之二代表氢、羟基、饱和或不饱和烷氧基、不饱和烷基,或者不饱和羧酸基团;并且k+1+m+n≤4。例子包括乙烯基硅烷,例如,H2C=CHSi(CH3)2H和H2C=CHCi(R18)3,其中R18是CH3O、C2H5O、AcO、H2C=CH或H2C=C(CH3)O-,或乙烯基苯基甲基硅烷;通式H2C=CHCH2-Si(OC2H5)3和H2C=CHCH2-Si(H)(OCH3)2的烯丙基硅烷;环氧丙氧基丙基硅烷如(3-环氧丙氧基丙基)甲基二乙氧基硅烷和(3-环氧丙氧基丙基)三甲氧基硅烷;通式H2C=(CH3)COO(CH2)3-Si(OR19)3的甲基丙烯酰氧基丙基硅烷,其中R19是烷基,优选甲基或乙基;氨丙基硅烷衍生物,包括H2N(CH2)3Si(OCH2CH3)3、H2N(CH2)3Si(OH)3或H2N(CH2)3OC(CH3)2CH=CHSi(OCH3)3。上述硅烷可由Gelest处购得。
具有含键合在C上的O的基团的优选增粘剂的例子是缩水甘油基醚,包括但不限于,1,1,1-三-(羟苯基)乙烷三缩水甘油基醚,由TriQuest市售供应。具有含键合在C上的O的基团的优选增粘剂的例子是含至少一个羧酸基团的不饱和羧酸的酯。例子包括三官能甲基丙烯酸酯、三官能丙烯酸酯、三羟甲基丙烷的三丙烯酸酯、二季戊四醇的五丙烯酸酯和甲基丙烯酸缩水甘油酯。上述增粘剂由Sartomer市售供应。
具有乙烯基基团的优选增粘剂的例子是乙烯基环状吡啶低聚物或聚合物,其中环状基团是吡啶、芳族或杂芳基团。有用的例子包括但不限于2-乙烯基吡啶和4-乙烯基吡啶,由Reilly市售供应;乙烯基芳烃;和乙烯基杂芳烃,包括但不限于,乙烯基喹啉、乙烯基咔唑、乙烯基咪唑和乙烯基唑。
具有含硅基团的优选增粘剂的例子是同一受让人的未决美国专利申请序列号09/471299,1999-11-23提交,所公开的通式II所示聚碳硅烷(polycarbosilanne):
Figure A20048004018100251
其中R20、R26和R29各自独立地代表取代或未取代的亚烷基、亚环烷基、亚乙烯基、亚烯丙基或亚芳基;R21、R22、R23、R24、R27和R28各自独立地代表氢原子或包含烷基、亚烷基、乙烯基、环烷基、烯丙基或芳基的有机基团,并且可以是线型或支化的;R25代表有机硅、硅烷基、甲硅烷氧基,或有机基团;以及p、q、r和s满足条件[4≤p+q+r+s≤100,000],并且q和r和s可集体地或独立地是0。该有机基团可包含最高18个碳原子但一般包含约1~约10个碳原子。有用的烷基基团包括-CH2-和-(CH2)t-,其中t>1。
想出的聚碳硅烷包括二氢聚碳硅烷,其中R20是取代或未取代的亚烷基或苯基,R21基团是氢原子,并且在聚碳硅烷链中没有侧基;就是说,q、r和s全部是0。另一类优选的聚碳硅烷是所包含的通式II的R21、R22、R23、R24、R25和R28基团是2~10个碳原子的取代或未取代的链烯基基团。该链烯基基团可以是乙烯基、丙烯基、烯丙基、丁烯基或任何其它不饱和的有机主链基团,具有最高10个碳原子。该链烯基基团可以是二烯基性质的并且包括不饱和链烯基基团,该基团(侧链)连接在或取代在一种否则就是烷基或不饱和有机聚合物主链上。这些优选聚碳硅烷的例子包括二氢或链烯基取代的聚碳硅烷如聚二氢碳硅烷、聚烯丙基氢碳硅烷和聚二氢碳硅烷与聚烯丙基氢碳硅烷的无规共聚物。
在更优选的聚碳硅烷中,通式II的R21基团是氢原子,并且R21是亚甲基且侧链基团q、r和s是0。其它优选的本发明聚碳硅烷化合物是这样的通式II的聚碳硅烷,其中R21和R27是氢,R20和R29是亚甲基,且R28是链烯基,并且侧基数q和r是0。聚碳硅烷可按照熟知的现有技术方法制备或者由聚碳硅烷组合物的制造商提供。在最优选的聚碳硅烷中,通式II的R21基团是氢原子;R24是-CH2-;q、r和s是0并且p介于5~25。这些最优选的聚碳硅烷可由Starfire Systems公司获得。这些最优选的聚碳硅烷的具体例子如下:
  聚碳硅烷   重均分子量(Mw)   多分散性   峰值分子量(Mp)
  1   400-1,400   2-2.5   330-500
  2   330   1.14   320
  3(具有10%烯丙基基团)   10,000-14,000   10.4-16   1160
  4(具有75%烯丙基基团)   2,400   3.7   410
如同从通式II中看到的,当r>0时,使用的聚碳硅烷可包含甲硅烷氧基基团形式的氧化基团。因此,R25代表有机硅、硅烷基、甲硅烷氧基,或有机基团,若r>0。可以看出,聚碳硅烷的氧化形式(r>0)能在本发明的范围内非常有效地操作并且完全属于本发明范围内。正如同样显然地,r可以独立于p、q和s地是0,唯一的条件是通式II聚碳硅烷的基团p、q、r和s必须满足条件[4≤p+q+r+s≤100,000],并且q和r和s可集体地或独立地是0。
聚碳硅烷可由目前可从许多制造商购得的原料并采用传统聚合方法制备。作为聚碳硅烷合成的例子,原料可由普通有机硅烷化合物或由聚硅烷作为起始材料通过在惰性气氛中加热聚硅烷与聚硼硅氧烷的混合物从而生成对应聚合物,或者通过在惰性气氛中加热聚硅烷与低分子量碳硅烷的混合物从而生成对应聚合物,或者通过在惰性气氛中和在催化剂如聚硼二苯基硅氧烷存在下加热聚硅烷与低分子量碳硅烷的混合物从而生成对应聚合物,而制成。聚碳硅烷也可通过美国专利5,153,295,在此全文收作参考,中报道的Grignard反应来合成。
优选的具有羟基基团的增粘剂的例子是酚醛树脂或通式III的低聚物:-[R30C6H2(OH)(R31)]u-,其中R30是取代或未取代的亚烷基、亚环烷基、乙烯基、烯丙基或芳基;R31是烷基、亚烷基、亚乙烯基、亚环烷基、亚烯丙基或芳基;并且u=3~100。有用的烷基基团的例子包括-CH2-和-(CH2)v-,其中v>1。特别有用的酚醛树脂低聚物的分子量是1500并且可由Schenectady国际公司购得。
另一种这里想出的合适的增粘剂是使用胺盐,例如,这里已公开的那些、采用至少一种具有长尾或庞大基团的酸,例如,九氟丁烷-1-磺酸(nfbs)或十二烷基苯磺酸(dbs),或者使用连接在具有活性官能团的硅烷上的酸,例如,酸-TEOS。这些想出的实施方案能防止酸从吸收组合物/光刻胶分层组合物中的吸收组合物中扩散到光刻胶中。通过防止此种酸的扩散,二层之间的粘附能大大改善。
该至少一种增粘剂也可起双重作用或多-阶段目的。可根据除增粘剂需要的那些特性以外的极性和/或官能团具体地选择用于特定吸收组合物的增粘剂,以便促进吸收组合物中的粘附。一旦增粘剂被结合到组合物中,它将利用增粘剂之间的极性差或利用增粘剂上的官能团作为“磁铁”有效地吸引剥裂和/或腐蚀溶液到增粘剂上。此种利用增粘剂的吸引作用可用几种方式激活。例如,当增粘剂在室温被结合到吸收组合物中时将出现放热反应,为激活增粘剂可能需要从外部加入能量和/或热量,可能不需要向组合物加入任何能量但视增粘剂的化学组成而定,或者可在吸收组合物上施加压差来“激活”增粘剂。然而,不论加入增粘剂以后是否需要加入能量,都应当理解,在此种实施方案中,增粘剂应加热或激活到直至光刻步骤完成它才发生化学改变或分解的程度。一旦光刻步骤完成,可不加热或者进一步加热包含增粘剂的吸收组合物以便使增粘剂的化学组成得以改变从而使它进一步与组合物相容。在此刻,可进一步加工涂层或薄膜,正如前面的实施方案中所描述的。
催化剂
在其它想出的实施方案中,至少一种催化剂可加入到该无机材料中以改善在建立交联基质的整个过程中的腐蚀选择性和/或剥裂选择性。催化剂也可与porogen一起加入,以促使孔隙的形成。这里所使用的术语“催化剂”是指任何通过降低化学反应活化能影响化学反应速率的物质。在某些工况中,催化剂将降低化学反应活化能而本身不消耗或发生化学变化。不论是否加入porogen,要加入的设想的催化剂可包含酸,例如,HNO3、HCl、乳酸、乙酸、草酸、琥珀酸、马来酸等。想出的porogen展开(deployment)的催化剂包括上面描述的简单酸,超酸光敏分子,例如,三芳基锍、三芳基氧,或二芳基碘盐,在乙烯基-基、丙烯酰-基(和其它多键单体-基聚合物)聚合和其它自由基-基的化学中通常使用的自由基生成剂(例如,过氧化苯甲酰、偶氮二异丁腈)。
交联剂
至少一种交联剂可加入到涂料/组合物中作为材料改性剂。本文所使用的术语“交联”是指一种过程,其中至少2个分子,或者长分子的2个部分借助化学相互作用连接在一起。此种相互作用可按照许多不同方式发生,包括共价键的形成、氢键的形成,疏水、亲水、离子或静电相互作用。另外,分子的相互作用也可用分子与本身之间或者2或更多个分子之间的至少暂时物理连接来表征。
在某些实施方案中,热活化的缩合催化剂可加入到无机材料和/或组合物中作为材料改性剂或者除了材料改性剂之外还为了改善组合物的平面化能力。在此类实施方案中,缩合催化剂被引入到涂料中。这些缩合催化剂通常仅在特定温度,例如,某一高温,才活化。于是,在某一温度(例如,室温),无机溶液/体系将保持为低分子量,从而赋予沿整个晶片和/或基材的表面形态良好的平面化能力。当提高温度(例如,大于50℃)时,缩合催化剂将催化Si-OH缩合反应,从而生成较为致密的结构并且,在某些情况下,改善的总体光刻性能。想出的缩合催化剂包含有助于维持稳定硅酸盐溶液的那些催化剂。该无金属离子催化剂选自盐化合物和亲核体。例如,该催化剂可以是铵化合物、胺、磷化合物或膦化合物。此类化合物的非穷尽例子包括四有机铵化合物和四有机磷化合物,包括四甲基铵乙酸盐(TMAA)、氢氧化四甲基铵(TMAH)、四丁基铵乙酸盐(TMAA)、鲸蜡基三甲基铵乙酸盐(CTAA)、四甲基铵硝酸盐(TMAN)、三苯基胺、三辛基胺、三(十二烷基)胺、三乙醇胺、四甲基磷乙酸盐、氢氧化四甲基磷、三苯基膦、三甲基膦、三辛基膦及其组合。在优选的实施方案中,使用TMAN,它可通过TMAN溶解在水中或者通过利用硝酸将TMAA或TMAH转化为TMAN来制取。该组合物还可包含能加速组合物交联的非金属、亲核添加剂。这些包括二甲基砜、二甲基甲酰胺、六甲基磷三酰胺(HMPT)、胺及其组合。几种有用的交联剂公开在同一拥有人并且未决的PCT申请序列号:PCT/US02/15256(公开号WO 93/088344),在此也全文收作参考。
pH调节剂
pH调节剂是一种化合物、材料或溶液,将它加入到吸收组合物和/或涂料中旨在“微调”或调节最终材料的pH值,以便使它与任何选择的光刻胶材料,包括吸收峰位于365nm、248nm、193nm和157nm附近的那些,相容或更相容。这里想出的pH调节剂是也公开在同一受让人的PCT申请序列号PCT/US01/45306中的那些,2001-11-15提交,在此全文收作参考。
然而要知道,pH调节剂不仅调节吸收材料、涂层和/或薄膜的pH,而且也影响作为多层材料、电子元器件和半导体元器件一部分的吸收材料、涂层和/或薄膜的化学性能和特性、机械性能和结构构成,以便使吸收材料、涂层和/或薄膜与偶合到其上的光刻胶材料更为相容。更具体地说,pH调节剂强烈影响聚合物特性、结构构成和空间取向,从而通过提高抗反射涂层的表面性能达到最佳光刻胶表现来形成一种最佳薄膜建筑结构。换句话说,这里想到的不是仅仅影响旋涂材料的pH,而不影响吸收材料、涂层和/或薄膜和其偶合光刻胶材料的机械性能和结构构成的pH调节剂。而且,还应了解,几种pH调节剂也可能适合作为致密化剂、催化剂或兼而有之。这里讨论的每一种材料改性剂可属于一个以上的类别。
所想出的的pH调节剂必须发挥2种单独的且有时相关的功能:a)影响它所加入其中的组合物的pH值;和b)影响该无机材料、涂层和/或薄膜的机械性能、化学性能和/或结构构成,也可以说成强烈影响聚合物特性、结构构成和空间取向从而导致无机涂层表面性能的提高以取得最佳表现。
想出的pH调节剂部分地旨在影响它所加入的组合物的pH值。这类潜在pH调节剂包含a)任何合适的酸和碱溶液、化合物和/或组分和/或b)适当强度和浓度酸和碱溶液、化合物和/或组分。此种合适的pH“影响剂”的集合是从中选出最终pH调节剂的更大的一类化合物,因为该pH“影响剂”必须还能影响无机材料、涂层和/或薄膜的机械性能和/或结构构成,同时还使该吸收组合物、涂层和/或薄膜与偶合层相容或更加相容。这,例如,意味着,选择的pH调节剂还旨在与该材料的溶解度参数、分子量、熔点或某些其它物理特性匹配。换句话说,pH调节剂和吸收组合物和/或涂料,根据可心的物理特性将不得物理不相容,即便当pH调节剂本身的第一功能是影响混合物的pH。在优选的实施方案中,可心的物理特性是溶解度参数或分子量。在较优选的实施方案中,可心的物理特性是溶解度参数。
某些适当pH调节剂的例子包含各种不同摩尔浓度的这类胺,例如,γ-氨烷基三烷氧基硅,尤其是γ-氨丙基三乙氧基硅烷(APTEOS);水;氧化物和醇盐,例如,醇钠、醇钾、氢氧化钾;卤化氢,例如,溴化氢、氢氯酸;乙酸、硫酸、乳酸、硝酸;TMAH;丙二醇甲醚乙酸酯(PGMEA);胺-基低聚物,包括具有无机原子如硅的那些低聚物,及其组合。想出的pH调节剂的摩尔浓度包括纯的、10M、1.0M、0.1M和0.01M的浓度,取决于为光刻胶材料选择的pH剂。
pH调节剂的另外一些例子包括上面讨论的增粘剂,即四甲基铵乙酸盐(TMAA)、氢氧化四甲基铵(TMAH)、四丁基铵乙酸盐(TBAA)、交联剂四甲基铵乙酸盐(CTAA)和四甲基铵硝酸盐(TMAN)。
该pH调节剂也可起双重作用或者多-阶段作用。可根据除pH调节剂需要的那些特性以外的极性和/或官能团具体地选择用于特定吸收组合物的pH调节剂,以便“微调”无机组合物。一旦pH调节剂结合到组合物中,它将利用pH调节剂之间的极性差或利用pH调节剂上的官能团作为“磁铁”有效地吸引剥裂和/或腐蚀溶液到pH调节剂上。此种pH调节剂的吸引作用可用几种方式激活。例如,当pH调节剂在室温被结合到吸收组合物中时将出现放热反应,为激活pH调节剂可能需要从外部加入能量和/或热量,可能不需要向组合物加入任何能量但视pH调节剂的化学组成而定,或者可在吸收组合物上施加压差来“激活”pH调节剂。然而,不论加入pH调节剂以后是否需要加入能量,都应当理解,在此种实施方案中,pH调节剂应加热或激活到直至光刻步骤完成它才发生化学改变或分解的程度。一旦光刻步骤完成,可不加热或者进一步加热包含pH调节剂的吸收组合物以便使pH调节剂的化学组成得以改变从而使它进一步与组合物相容。在此刻,可进一步加工涂层或薄膜,正如前面的实施方案中所描述的。
致密化剂
至少一种致密化剂可加入到这里所公开的吸收组合物中。致密化剂或化合物类似于前面在其它材料改性剂部分中提到的那些。应当理解,在这一或其它部分中提到的化合物中许多是重叠的,就是说,这些化合物中某些可以是致密化剂和催化剂,或者致密化剂和pH调节剂。致密化剂包含至少一种加入到这里描述的吸收组合物中以便“微调”或调节最终组合物的密度的化合物、材料或溶液,以便使最终材料的密度或其它相关物理特性相对于参照材料有所提高。这里所使用的术语“参照材料”是这样的材料,它包含这里描述的想出的组合物的每一种组分,唯独缺少致密化剂。“参照材料”是指一种标准组合物,准备用来与想出的组合物进行比较。
然而,要知道,致密化剂不仅调节吸收组合物的密度,而且它还影响作为多层材料、电子元器件、半导体元器件一部分的最终组合物的化学性能和特性、机械性能和结构构成,使最终组合物与偶合其上的光刻胶材料更加相容。更具体地说,致密化剂强烈影响聚合物特性、结构构成和空间取向从而导致底下的涂层,不仅包括抗反射涂层而且包括其它层和多层材料,的表面性能的提高。
像这里描述的其它材料改性剂一样,想出的致密化剂必须2个单独并有时相关的功能:a)影响它所加入其中的组合物的物理性能;和b)影响组合物的机械性能和/或结构构成,也可以说成强烈影响聚合物特性、结构构成和空间取向从而导致该层、涂层和/或材料,例如,抗反射涂层,的表面性能的提高,以达到最佳光刻胶和/或元器件表现。
想出的致密化剂部分地旨在影响它所加入的组合物的密度。这类潜在致密化剂包含a)任何合适的酸和碱溶液、化合物和/或组分和/或b)适当强度和浓度酸和碱溶液、化合物和/或组分。此种合适的密度“影响剂”的集合是从中选出最终致密化剂的更大的一类化合物,因为该密度“影响剂”必须还能影响最终组合物的机械性能和/或结构构成,同时还使该最终吸收组合物变得相容或更加相容。这,例如,意味着,选择的致密化剂还旨在与无机材料、旋涂无机材料和/或旋涂玻璃材料的溶解度参数、分子量、熔点或某些其它物理特性匹配。换句话说,致密化剂和无机材料、旋涂无机材料和/或旋涂玻璃材料,依据可心的物理特性将不得物理不相容。在优选的实施方案中,可心的物理特性是溶解度参数或分子量。在更优选的实施方案中,可心的物理特性是溶解度参数。
某些合适的致密化剂或密度调节剂的例子包含各种不同摩尔浓度此类胺,例如,γ-氨烷基三烷氧基硅烷和那些硅烷化合物的氮-基盐,尤其是γ-氨丙基三乙氧基硅烷(APTEOS)和APTEOS-N;水;氧化物和醇盐,例如,醇钠、醇钾、氢氧化钾;卤化氢,例如,溴化氢、氢氯酸;乙酸、硫酸、乳酸、硝酸;TMAH;乙酸盐-基化合物,例如,四甲基铵乙酸盐(TMAA)、APTEOS-乙酸盐和乙酸铵;硝酸盐-基化合物,例如,四甲基铵硝酸盐(TMAN-TMAA的硝酸稳定化的形式)和硝酸铵;胺-基低聚物,包括具有无机原子如硅的那些低聚物,及其组合。想出的致密化剂的摩尔浓度包括纯的、10M、1.0M、0.1M和0.01M浓度,取决于为光刻胶材料选择的致密化剂。
制造想出的组合物的一种想出的方法包括合并至少一种吸收组合物、至少一种致密化剂(材料改性剂)和酸/水混合物,例如,硝酸/水混合物和至少一种溶剂以形成反应混合物;以及回流该反应混合物以形成想出的组合物。形成的组合物随后以至少一种溶剂稀释以提供能生成各种不同厚度的薄膜的涂料溶液。致密化剂也可替代地在回流步骤期间或在回流步骤之后加入。致密化剂也可在稀释阶段期间决定膜厚时加入。在其它所提到的合适的实施方案中,可结合的吸收化合物可在用于形成反应混合物的步骤期间加入。
在制造想出的组合物的另一种想出的方法中,至少一种致密化剂和至少一种溶剂可合并以形成反应混合物。该反应混合物随后回流以形成想出的组合物。所形成的组合物以至少一种溶剂稀释以提供生成各种不同厚度薄膜的涂料溶液。在此方法中的致密化剂可以是传统酸/水混合物的一种变体,就是说,可加入不同的酸、可加入较少酸,或者可加入较多水。致密化剂也可在稀释步骤期间加入。
更具体地说,反应混合物,包括硅烷反应物,例如,HTEOS,或TEOS和MTEOS,或TMOS和MTMOS;或者替代地,四氯硅烷和甲基三氯硅烷、至少一种致密化剂如APTEOS-N或APTEOS;一种溶剂或溶剂的组合;以及酸/水混合物,在反应容器中形成。适当溶剂包括丙酮、2-丙醇和其它简单醇、酮和酯如1-丙醇、MIBK、丙氧基丙醇和乙酸丙酯。酸/水混合物是,例如,硝酸和水。其它质子酸或酸酐,例如,乙酸、甲酸、磷酸、氢氯酸或乙酐,可替代地用于酸混合物中。形成的混合物回流约1~24h,结果生成吸收组合物。如前面提到的,致密化剂可在回流步骤期间或以后加入,取决于选择的光刻胶材料。还有,如前面提到的,酸/水混合物中的酸浓度和/或强度以及水的浓度可变化以便成为一种致密化剂,具体根据为特定多层材料、电子元器件或半导体元器件用途所选择的光刻胶材料确定。
密度-调节好的涂料溶液(可以也可以不是吸收涂料溶液)被施涂到各种基材上形成多层材料、半导体加工中使用的层或电子元器件中使用的层,取决于具体制造方法,通常采用传统旋涂沉积技术。这些技术包括喷射旋涂、厚度旋涂和热烘烤步骤,结果生成适当涂层。典型方法包括介于1000~4000rpm的厚度旋涂持续约20s,和2或3个温度介于80℃~300℃每步持续约1min的烘烤步骤。该密度-调节好的涂层,按照本发明,表现出介于约1.3~约2.0之间的折光指数,和大于约0.07的消光系数。应当指出,这里想出的密度调节的涂层具有,比其无密度-调节的对照物提高的折光指数测定值。
Porogen(成孔剂)
至少一种porogen(成孔剂)可加入到该无机-基组合物或无机材料中以提高该吸收组合物和/或涂料或组合物的腐蚀选择性和/或剥裂选择性。不拟囿于任何特定理论,据理解,在本发明主题的一个方面,至少一种porogen在吸收组合物和/或涂料中的加入可在材料、涂层和/或薄膜中造成孔隙或空洞的形成。该孔隙或空洞是由于结构重排或材料的失去所致,致使留下孔隙或空洞或自由容积的增加。材料、涂料和/或薄膜中的孔隙或空洞在涂层或薄膜中造成附加表面面积,这将最终提高材料、涂层和/或薄膜的腐蚀选择性和/或剥裂选择性。充填材料的孔隙率一般近似于介电材料的孔隙率,而在这两种情况下,孔隙率都大于光刻胶材料的孔隙率。这些干腐蚀选择性有时对于关键尺寸从光刻胶成图到腐蚀的恰当转移是必要的。porogen的分子量也可被用来确定该porogen是否与材料中的吸收组合物和/或涂料配混料的基质相容。相容性商数与吸收组合物和/或涂料配混料的基质的溶解度参数有关。在理想情况下,porogen应与烘烤前的基质涂布制剂的溶解度参数匹配,使得当制剂分子量已知时,可通过令其溶解度参数与基质匹配来决定porogen的适当分子量。溶解度参数可按照与薄膜缺陷的、介电常数、湿腐蚀试验、用显微镜或扫描电镜观察缺陷之间的关系实验地决定,或者通过计算,采用基团贡献方法或者通过内聚能分子模型来决定(参见聚合物物理性质手册,第16章“溶解度参数”Y.Du,Y.Xue,H.L.Frisch pp 227~239;James E.Mark主编,1996,American Instituteof Physics,Woodbury,NY)。
这里使用的术语“孔隙”包括材料中的空洞和小孔,和任何其它表示在材料中被气体占据的空间的术语。术语“孔隙”也可包括材料因体积增加而出现的密度差异(已引入了“多孔本质”)。适当气体包括相对纯的气体及其混合物。空气,作为主要含N2和O2的混合物,通常分布在孔隙中,但是纯气体,例如,氮气、氦气、CO2或CO也在考虑之列。孔隙一般是球形但可以替代地或附加地包括管状、层状、盘状、具有其它形状的空洞,或者以上形状的组合并且可以是敞口或闭合的。这里使用的术语“porogen”可具有多种多样机理供选用来形成孔隙,但一般地是一种通常在被去掉后留下“孔隙”或“空洞”的材料,或者能重排以造成“孔隙”或“空洞”的材料。在一种实施方案中,porogen是一种可分解材料,它可辐射、热、化学或潮湿分解,降解,可聚合或以其它方式能分解并包括固体、液体或其它材料。
在本文公开的主题的另一个方面,porogen可起双重作用或用于多阶段目的。该porogen可基于极性和/或官能团具体地选择用于特定涂料组合物。一旦porogen被结合到组合物中,或者预烘烤(无显著孔隙/空洞)或后-烘烤(材料中存在孔隙/空洞),它将起“磁铁”的作用,利用porogen之间的极性差或者利用porogen上的官能团有效地将剥裂和/或腐蚀溶液吸引到porogen上。porgen的此种吸引作用可通过几种方式激活。例如,当porogen在室温被结合到吸收组合物和/或涂料中时,可能发生放热反应,为激活porogen可能需要从外部加入能量和/或热量,或者可在吸收组合物和/或涂料上施加压差来“激活”porogen。该porogen可能被加入到吸收组合物中作为材料改性剂,从未打算让porogen造成孔隙或空洞。然而,一旦光刻步骤完成后,包含porogen的吸收组合物和/或涂料可进一步加热,以便使porogen能形成孔隙或空洞或者porogen可留在吸收组合物中而不造成孔隙或空洞。此刻,如果在材料、涂层和/或薄膜中形成孔隙或空洞,则该孔隙/空洞将在涂层或薄膜中创造附加表面面积,进而最终增加材料、涂层和/或薄膜的腐蚀选择性和/或剥裂选择性,正如在前面的实施方案中所描述的。
在某些想出的实施方案中,分解的porogen被从部分或完全交联的基质中去除或可透过它蒸发或扩散,从而在以后完全固化的基质中造成孔隙,借此降低基质的介电常数并强化牺牲特性。在另一种实施方案中,porogen可以是一种材料,它不分解但可从基质中溶出,从而留下“孔隙”。在第三种实施方案中,porogen可以是不分解的但在特定高温如在250~350℃范围具有足以消散的挥发性。超临界材料如CO2,可用来溶解porogen和分解的porogen残片。优选的是,在可热分解的porogen的情况下,该porogen包含分解温度大于材料的最低分解温度的材料。优选的是,本发明新颖porogen的降解或分解温度最高约300℃,在某些情况下大于约300℃。优选的是,降解或分解的porogen在大于和该porogen结合的材料的最低交联温度的温度挥发。优选的是,降解或分解的porogen在约50℃~约450℃之间的温度挥发。
公知的porogen,例如,线型聚合物、星形聚合物、交联的聚合物纳米球、嵌段共聚物以及高支化聚合物可用于具有无机-基化合物和材料的想出的实施方案中。合适的线型聚合物是聚醚,例如,聚环氧乙烷和聚环氧丙烷;聚丙烯酸酯如聚甲基丙烯酸甲酯;脂族聚碳酸酯如聚碳酸亚丙酯和聚碳酸亚乙酯;聚酯;聚砜;聚苯乙烯(包括选自卤代苯乙烯和羟基取代的苯乙烯的单体单元);聚α-甲基苯乙烯;以及其它乙烯基基聚合物。有用的聚酯porogen包括聚己内酯;聚对苯二甲酸乙二醇酯;聚己二酸1,4-苯二酚(酯);聚对苯二甲酸1,4-苯二酚;聚己二酸1,6-己二醇;聚乙醇酸交酯、聚丙交酯(聚乳酸)、聚丙交酯-乙醇酸交酯、聚丙酮酸、聚碳酸酯如具有约500~约2500的分子量的聚(碳酸1,6-己二醇酯)二醇;以及聚醚,例如,聚(双酚A-共聚-表氯醇)具有约300~约6500的分子量。合适的交联、不溶解纳米球(制成纳米乳液)适宜地由聚苯乙烯或聚甲基丙烯酸甲酯组成。合适的嵌段共聚物是聚乙醇酸交酯、聚乳酸、聚苯乙烯-共聚-α-甲基苯乙烯、聚苯乙烯-环氧乙烷、聚醚内酯、聚酯碳酸酯和聚内酯丙交酯。合适的高支化聚合物是高支化的聚酯,例如,高支化聚己内酯,和聚醚,例如,聚环氧乙烷和聚环氧丙烷。另一种有用的porogen是乙二醇-聚己内酯。有用的聚合物嵌段包括聚乙烯基吡啶、氢化聚乙烯基芳烃、聚丙烯腈、聚硅氧烷、聚己内酰胺、聚氨酯、聚二烯如聚丁二烯和聚异戊二烯、聚氯乙烯、聚缩醛和胺-封端的氧化烯。其它有用的热塑性材料包括聚异戊二烯、聚四氢呋喃和聚乙基唑啉。
其它适合用于想出的实施方案的porogen包括聚合物,优选包含一个或多个反应性基团,例如,羟基或氨基的那些。在这些一般参数当中,适合用于这里公开的组合物和方法的聚合物porogen是,例如,聚氧化烯、聚氧化烯的单醚、聚氧化烯的二醚、聚氧化烯的双醚、脂族聚酯、丙烯酸类聚合物、缩醛聚合物、聚己内酯、聚戊内酯、聚甲基丙烯酸甲酯、聚乙烯醇缩丁醛和/或其组合。当porogen是聚氧化烯单醚时,一种具体的实施方案是介于氧原子之间的C1~约C6烷基链,和C1~约C6烷基醚部分,且其中烷基链是取代或未取代的,例如,聚乙二醇单甲醚、聚乙二醇二甲醚或聚丙二醇单甲醚。
包含至少2个稠合芳环,其中每个稠合芳环上具有至少一个烷基取代基并且在相邻芳环上的至少2个烷基取代基之间存在一个键的porogen可用于想出的实施方案中。优选的porogen包括未官能化的聚苊均聚物、下面描述的聚苊共聚物、聚2-乙烯基萘和乙烯基蒽及其彼此的共混物。其它有用的porogen包括金刚烷、二金刚烷、fullerene和聚降冰片烯。这些porogen,包括上面列出的那些,当中每一个可彼此或与其它porogen材料,例如,与聚己内酯、聚苯乙烯和聚酯进行掺混。有用的混合物包括未官能化的聚苊均聚物和聚己内酯、更优选的porogen是未官能化的聚苊均聚物、官能化的聚苊均聚物、聚苊共聚物和聚降冰片烯。
有用的聚苊均聚物的重均分子量可介于,优选地,约300~约20,000;更优选约300~约10,000;最优选约1000~约7000并且可由苊利用不同引发剂如2,2′-偶氮二异丁腈(AIBN);二叔丁基的偶氮二羧酸酯;二苯基的偶氮二羧酸酯;1,1′-偶氮双(hezanecarbonitrile);过氧化苯甲酰(BPO);过氧化叔丁基;和三氟化硼二乙基醚酯,聚合而成。聚苊均聚物可具有官能端基,例如,三键或双键,连接在链端,或以双或三键醇猝灭的阳离子聚合,例如,烯丙醇、丙炔醇、丁炔醇、丁烯醇或甲基丙烯酸羟乙酯。
有用的聚苊共聚物可以是线型聚合物、星形聚合物或高支化聚合物。共聚单体可具有能使生成的共聚物构型类似于聚苊均聚物的庞大侧基,或者将生成不像聚苊均聚物构型的共聚物的非庞大侧基。具有庞大侧基的共聚单体包括新戊酸乙烯基酯;丙烯酸叔丁酯;α-甲基苯乙烯;叔丁基苯乙烯;2-乙烯基萘;5-乙烯基-2-降冰片烯;乙烯基环己烷;乙烯基环戊烷;9-乙烯基蒽;4-乙烯基联苯;四苯基丁二烯;茋;叔丁基茋;和茚;优选新戊酸乙烯基酯。氢化聚碳硅烷可用作苊和至少一种上述共聚单体的附加共聚单体或共聚物成分。有用的氢化聚碳硅烷的例子具有10%或75%烯丙基基团。具有非庞大侧基的共聚单体包括醋酸乙烯、丙烯酸甲酯、甲基丙烯酸甲酯和乙烯基醚,优选醋酸乙烯。
当讨论孔隙生成时,术语“降解”是指共价键的断裂。此种键的断裂可按照多种方式发生,包括多相催化和均相催化断裂。键的断裂不一定要完全,即,不是所有可断裂键必须断裂。另外,键的断裂可在某些键处进行得比其它地方快。例如,酯键的稳定性通常比酰胺键差,因此以较快速率断裂。键的断裂也可导致彼此不同的片段的释放,取决于降解部分的化学组成。
在孔隙生成过程的优选实施方案中,在可热降解porogen的情况下,热能被施加到含porogen的材料上从而使porogen基本上降解或分解成为其起始组分或单体。这里所使用的术语“基本上降解”优选指至少约40%porogen降解或分解。在某些优选的实施方案中,至少约50wt%porogen降解或分解,在最优选的实施方案中,至少约80wt%porogen降解或分解。在另一种实施方案中,porogen的溶出发生在分开的加工阶段或者与其它加工阶段结合起来,例如,在光刻显影期间或在含porogen材料的实际湿剥裂期间。
在想出的实施方案中,也可施加热能,以促使基本降解或分解的porogen从无机化合物基质中挥发出去。优选的是,同一热能既用于降解也用于挥发的步骤。随着挥发的降解porogen的数量的增加,在材料、涂层和/或薄膜中造成的孔隙逐渐增加。
任何合适的程序或条件皆可用于去除或至少部分去除该至少一种porogen,包括加热、溶解在溶剂中、择优腐蚀、射线辐照、电磁辐射,例如,紫外线、x-射线、激光或红外射线;机械能,例如,超声波或物理压力;或者粒子辐射,例如,γ-射线、α-射线、中子束或电子束,正如同一受让人的专利申请号PCT/US96/08678和美国专利6,024,994;6,080,526;6,177,143;和6,235,353,在此将其全文收作参考,中公开的那样。
溶剂
至少一种高沸点溶剂可加入到吸收材料、涂料和/或薄膜中。不拟囿于任何特定理论,但据理解,高沸点溶剂的加入可通过延缓膜的干燥来防止出现空洞和改善平面化。这里所使用的术语“高沸点溶剂”是指,该溶剂在,接近和/或优选地略高于材料、涂料和/或薄膜的干燥和/或固化温度的温度挥发。优选的高沸点溶剂包括甘油、二丁基醚、乳酸乙酯二丁基甘油、二甲基亚砜(DMSO)、二甲基甲酰胺(DMF)、N-甲基-吡咯烷酮(NMP)、二甲基-乙酰胺(DMAc)、高沸点芳烃基溶剂、石油醚、卡必醇类,以及二醇醚类。高沸点溶剂也可起porogen的作用,例如,二丙二醇、聚环氧乙烷或乳酸乙酯。
为进一步描述上面想出的实施方案中,溶剂可用于双重目的和多阶段目的。该溶剂可根据除溶剂需要的那些特性以外的极性和/或官能团具体地选择用于特定吸收组合物,以便与涂料组合物的组分掺混和使之溶剂化。一旦溶剂结合到组合物中,它将利用溶剂之间的极性差或利用溶剂上的官能团作为“磁铁”有效地吸引剥裂和/或腐蚀溶液到溶剂上。此种借助溶剂的吸引作用可用几种方式激活。例如,当溶剂在室温被结合到吸收组合物和/或涂料中时将发生放热反应,为激活溶剂可能需要从外部加入能量和/或热量,或者可在吸收组合物和/或涂料上施加压差来“激活”溶剂。然而,不论加入溶剂以后是否需要加入能量,都应当理解,在此种实施方案中,溶剂应加热或激活到直至光刻步骤完成它仍存在的程度。一旦光刻步骤完成,可进一步加热包含溶剂的吸收组合物和/或涂料以便使溶剂挥发。在此刻,可进一步加工涂层或薄膜,正如前面的实施方案中所描述的。
典型溶剂还有能使这里想出的单体和聚合物溶剂化以便作为涂料组合物和材料使用的那些溶剂。想出的溶剂包括在要求的温度挥发的任何合适的纯有机、有机金属和无机分子或其混合物。溶剂也可包含任何合适的纯极性和非极性化合物或其混合物。在优选的实施方案中,溶剂包含水、乙醇、丙醇、丙酮、甲苯、醚、环己酮、丁内酯、丁酮、甲基异丁基甲酮、N-甲基吡咯烷酮、聚乙二醇甲醚、1,3,5-三甲基苯、乳酸乙酯、PGMEA、茴香醚,和聚醚类溶剂,例如,卡必醇(它构成乙二醇醚以羟基、烷氧基和羧基基团封端的一类)和类似丙二醇醚。
封端剂
至少一种封端剂也可加入到涂料组合物中作为材料改性剂。这里所使用的术语“封端剂”是指通过封堵聚合物末端有效地控制特定聚合物分子量的终止单体。在想出的实施方案中,封端剂包含仅具有一个反应性基团,例如,乙氧基反应基团,而单体其余部分是甲基基团的硅烷单体。另外,该硅烷单体在生成无机组合物的母反应末期加入。任何合适的终止单体都可应用于此领域,包括硅烷组合物如TMEOS。
生产方法
按照这里公开的主题的另一个方面,提供一种合成这里描述的吸收组合物的方法。吸收材料通常由各种不同硅烷反应物合成,包括,例如,三乙氧基硅烷(HTEOS)、四乙氧基硅烷(TEOS)、甲基三乙氧基硅烷(MTEOS)、二甲基二乙氧基硅烷、四甲氧基硅烷(TMOS)、二甲基二乙氧基硅烷、甲基三甲氧基硅烷(MTMOS)、三甲氧基硅烷、二甲基二甲氧基硅烷、三甲基乙氧基硅烷(TMEOS)、苯基三乙氧基硅烷(PTEOS)、苯基三甲氧基硅烷(PTMOS)、二苯基二乙氧基硅烷、二苯基二甲氧基硅烷、四乙酰氧基硅烷(TAS)、甲基三乙酰氧基硅烷(MTAS)、苯基三乙酰氧基硅烷(PTAS)、二甲基二乙酰氧基硅烷和二苯基二乙酰氧基硅烷。然而,镓、砷、锗、硼和类似原子和材料也可与硅原子配合使用或者作为唯一的原子材料来生产吸收材料。
卤代硅烷,包括氯硅烷,例如,三氯硅烷、甲基三氯硅烷、乙基三氯硅烷、苯基三氯硅烷、四氯硅烷、二氯硅烷、甲基二氯硅烷、二甲基二氯硅烷、氯三乙氧基硅烷、氯三甲氧基硅烷、氯甲基三乙氧基硅烷、氯乙基三乙氧基硅烷、氯苯基三乙氧基硅烷、氯甲基三甲氧基硅烷、氯乙基三甲氧基硅烷和氯苯基三甲氧基硅烷也可用作硅烷反应物。
一般而言,为生产吸收组合物,令该吸收化合物如吸收化合物1~41,或其组合与硅烷反应物在吸收材料合成期间进行混合。材料改性剂,例如,至少一种增粘剂,至少一种pH调节剂、至少一种porogen、至少一种高沸点溶剂、至少一种交联剂、至少一种催化剂和/或至少一种封端剂,和/或其组合,也可与硅烷反应物在吸收组合物合成期间或者一旦合成完成以后,正如在至少一种封端剂的情况下那样,进行混合。
一种想出的制造吸收组合物的方法包括合并至少一种无机-基组合物、至少一种吸收化合物、至少一种材料改性剂,例如,至少一种增粘剂、至少一种pH调节剂、至少一种porogen、至少一种高沸点溶剂、至少一种交联剂、至少一种催化剂和/或至少一种封端剂,和/或其组合,酸/水混合物,例如,硝酸/水混合物,和至少一种溶剂,结果形成一种反应混合物;并将反应混合物加热至等于或大于约40℃的温度或回流,形成吸收组合物。形成的吸收组合物随后以至少一种溶剂稀释从而提供一种能生成各种不同厚度的薄膜的涂料溶液。材料改性剂,例如,至少一种增粘剂、至少一种pH调节剂、至少一种porogen、至少一种高沸点溶剂、至少一种交联剂、至少一种催化剂和/或至少一种封端剂和/或其组合,也可并替代地在回流步骤期间或在回流/加热步骤之后加入。
在另一种想出的制造吸收组合物的方法中,至少一种无机-基组合物、至少一种吸收化合物、至少一种材料改性剂,例如,至少一种增粘剂、至少一种pH调节剂、至少一种porogen、至少一种高沸点溶剂、至少一种交联剂、至少一种催化剂和/或至少一种封端剂,和/或其组合,和至少一种附加溶剂可进行混合,结果形成一种反应混合物。随后,将反应混合物加热至等于或大于约40℃的温度或回流,形成吸收组合物。形成的吸收组合物随后以至少一种溶剂稀释从而提供一种能生成各种不同厚度的薄膜的涂料溶液。在该方法中的pH调节剂可以是传统酸/水混合物的一个变种,就是说可加入不同的酸、加入较少酸,或者可加入较多水。然而,不论选择何种pH调节剂,基本原则不变——那就是,不仅pH受到pH调节剂的影响,而且ARC的化学、机械和物理性质也受到影响,从而得到更为相容的光刻胶/ARC配对。
更具体地说,反应混合物,包括硅烷反应物,例如,HTEOS或TEOS和MTEOS,MTEOS,TMEOS或TMOS和MTMOS;或者替代地,四氯硅烷和甲基三氯硅烷,至少一种吸收化合物,例如,吸收化合物1~41;至少一种pH调节剂;溶剂或溶剂的组合;以及酸/水混合物,在反应容器中形成。合适的溶剂包括丙酮、2-丙醇和其它简单醇、酮和酯如1-丙醇、MIBK、丙氧基丙醇和乙酸丙酯。酸/水混合物,例如,是硝酸和水。其它质子酸或酸酐,例如,乙酸、乳酸、草酸、甲酸、磷酸、氢氯酸或乙酐替代地被用于该酸混合物中。形成的混合物加热到等于或大于40℃或回流约1~24h从而形成该吸收溶液。如前面提到的,材料改性剂,例如,至少一种增粘剂、至少一种pH调节剂、至少一种porogen、至少一种高沸点溶剂、至少一种交联剂、至少一种催化剂和/或至少一种封端剂,和/或其组合加热/回流步骤期间或以后加入,取决于所选择的光刻胶材料。也如上面提到的,酸/水混合物中的酸浓度和/或强度以及水的浓度可变化,以便成为一种pH调节剂,取决于为具体分层材料、电子元器件或半导体元器件用途所选择的光刻胶材料。
吸收材料可以适当溶剂稀释以获得能提供各种不同厚度的薄膜的涂布溶液。合适的稀释溶剂包括丙酮、2-丙醇、乙醇、丁醇、甲醇、乙酸丙酯、乳酸乙酯、丙二醇甲醚乙酸酯,和丙二醇丙基醚,工业上被称作Propasol-P。高沸点稀释溶剂,例如,乳酸乙酯和丙二醇丙基醚据发现是有利的。据信,高沸点溶剂能减少气泡薄膜缺陷形成的概率。相比之下,较低沸点的溶剂可能被夹带在薄膜交联后的面层底下,并随后当赶出溶剂时或在烘烤加工步骤期间形成空洞。在本发明中附加有用的溶剂包括乙二醇二甲醚,替代地,被称作glyme,茴香醚、二丁基醚、二丙基醚、丙二醇甲基醚乙酸酯,以及戊醇。任选地,可以使用表面活性剂,而这些任选表面活性剂的数据/注释在下面实例部分中给出;然而,这些数据和注释并未穷尽所进行的全部研究,也不代表所试验过的全部表面活性剂。例如,试验过下列表面活性剂类别:聚丙烯酸酯(包括离子和非离子的)(BYK牌表面活性剂,特别是BYK306、307、308、380和381)、官能聚二甲基硅氧烷(Tagopren牌表面活性剂)、硅酮、氟化烷基酯(FC牌表面活性剂,尤其是FC4430、FC430或FC4432,由3M(明尼阿波利斯,MN)提供,聚醚和脂族烃链表面活性剂(Brij表面活性剂)、产品Megaface R08(由DIC(日本)提供),或一般地,碳氟化合物表面活性剂类,也可加入到涂料溶液中。另外,几种增粘剂(viscosity agents)可加入到涂料溶液中以影响涂料溶液的粘度,例如,BYK 410和BYK 420。涂料溶液一般介于约0.5~20wt%聚合物。使用前,涂料溶液采用标准过滤技术进行过滤。
按照成形吸收材料的第二种方法,反应混合物,包括至少一种硅烷反应物,至少一种吸收化合物,例如,吸收化合物1~41,至少一种材料改性剂,包括至少一种增粘剂、至少一种pH调节剂、至少一种porogen、至少一种高沸点溶剂、至少一种交联剂、至少一种催化剂和/或至少一种封端剂和/或其组合,以及附加溶剂或溶剂的混合物,在反应容器中形成。该反应混合物加热至等于或大于约40℃并在该要求温度保持约1~24h。硅烷反应物和溶剂如同在前面第一种方法中描述的一样。酸/水混合物,如上所述,被加入到反应混合物中,其间维持搅拌。反应混合物加热至等于或大于约40℃并在该要求的温度保持约1~24h。该吸收材料按照上面所述进行稀释和过滤从而形成涂料溶液。同样,如前面所述,至少一种材料改性剂可在第一加热步骤期间或以后加入。
一种形成吸收有机氢化硅氧烷材料的方法包括:形成一种双相溶剂的混合物,它包括非极性溶剂和极限溶剂,以及一种相转移催化剂;加入至少一种有机三卤代硅烷、氢化三卤代硅烷;加入至少一种材料改性剂和至少一种吸收化合物,例如,吸收化合物1~41,从而提供双相反应混合物;以及令该双相反应混合物反应1~24h,从而生成吸收有机氢化硅氧烷聚合物。相转移催化剂包括但不限于,四丁基氯化铵和苄基三甲基氯化铵。范例非极性溶剂包括但不限于,戊烷、己烷、庚烷、环己烷、苯、甲苯、二甲苯、卤化溶剂如四氯化碳及其混合物。有用的极限溶剂包括水、醇,以及醇与水的混合物。该吸收聚合物溶液按如上所述进行稀释和过滤,结果形成一种涂料溶液。
在另一种实施方案中,催化剂被载于固相上,同时聚合反应在其表面上进行。载体材料可包括典型二氧化硅-基离子交换树脂,其上预先带上酸基团,例如,磺酸,或任何其它在所用溶剂条件下不溶解的含酸聚合物(例如,聚乙醇酸交酯、聚丙烯酸、聚乙烯基磺酸)。
在其它实施方案中,吸收涂料组合物的合成和/或制备期间和以后,可控制组合物的水含量以便控制聚合物分子量的增长。水含量的控制对聚合物分子量增长具有与封端剂类似的作用。
替代地,又一种制造吸收组合物的方法要求至少一种无机-基单体,它在聚合反应开始以后以“分段硅烷加成”的方式加入。任何剩下的硅烷单体、吸收小于约375nm波长光的可结合吸收化合物,以及至少一种材料改性剂,随后被加入到反应混合物中。
施涂
这里公开的涂料和溶液可施涂到各种基材和/或表面上形成牺牲通孔充填层、多层材料、半导体加工中使用的层,或电子元器件中使用的层,取决于具体制造方法,通常采用传统旋涂沉积技术、蒸汽沉积或化学蒸汽沉积。这些技术包括喷射旋涂、厚度旋涂和热烘烤步骤,以生成无机涂层。典型方法包括1000~4000rpm的厚度旋涂约20s和1~3次80℃~300℃之间温度的烘烤步骤,每次约1min。本发明的无机涂层表现出介于约1.3~约2.0的折光指数和大于约0.07的消光系数。
这里想到的基材可包含任何可心的基本固态材料。特别可心的基材层将包含薄膜、玻璃、陶瓷、塑料、金属或涂布金属,或者复合材料。在优选的实施方案中,基材包含硅或砷化锗芯片或晶片表面、封装表面如在镀铜、银、镍或金的引线框中见到的、铜表面如在电路板或封装互连线迹、通孔壁或加强界面(“铜”包括裸铜及其氧化物的意思)、聚合物-基封装或电路板界面,例如,在聚酰亚胺-基柔性封装,引线或其它金属合金焊药球表面、玻璃和聚合物如聚酰亚胺中见到的。在更优选的实施方案中,基材包含封装和电路板工业中常用材料,例如,硅、铜、玻璃和其它聚合物。
想出的涂料、涂料溶液和薄膜可用于制造各种各样电子器件、微电子器件、特别是电子和半导体元器件用半导体集成电路和各种多层材料,包括硬掩模层、介电层、腐蚀截止层和埋入的腐蚀截止层。这些涂料、涂料溶液和薄膜能与多层材料和器件可能使用的其它材料相当好地相容,例如,与金刚烷-基化合物、二金刚烷-基化合物、硅-芯化合物、有机电介质,和纳米多孔电介质。能与这里想出的涂料、涂料溶液和薄膜相当好地相容的化合物公开在PCT申请PCT/US01/32569,2001-10-17提交;PCT申请PCT/US01/50812,2001-11-31;美国专利申请序列号09/538276;美国申请序列号09/544504;美国申请序列号09/587851;美国专利6,214,746;美国专利6,171,687;美国专利6,172、128;美国专利6,156,812、美国申请序列号60/350187,2002-01-15提交;和US60/347195中,2002-01-08提交,在此全文收作参考。
这里所描述的化合物、涂料、薄膜、材料等可用来变成电子元器件和/或半导体元器件的一部分或形成其一部分。这里所使用的术语“电子元器件”还意味着任何器件或零件,只要能用于电路中以获得某些要求的电作用。这里想出的电子元器件可按照多种不同方式分类,包括分成有源元器件和无源元器件。有源元器件是具有一定动态功能如放大、振荡和信号控制这些其操作一般要求动力源的电子元器件。例子是双极晶体管、场效应晶体管和集成电路。无源元器件是操作中为静态的,即,通常不能放大或振荡的电子元器件,并且其操作一般不要求功率。例子是传统晶体管、电容器、电感器、二极管、整流器和熔断器。
这里想出的电子元器件也可划分为导体、半导体和绝缘体。这里,导体是允许载流子像在电路中那样在原子之间轻易地运动的元件。导体元件的例子是电路线迹和包含金属的通孔。绝缘体基本上指的是一种元器件,其功能主要涉及材料极端抗拒电流传导的能力,例如,用于将其它元件电气隔开的材料,而半导体则是一种具有这样的功能元器件,其功能主要涉及材料以一种介于导体和绝缘体之间的天然电阻率传导电流的能力。半导体元器件的例子是晶体管、二极管、某些激光器、整流器、晶闸管和光敏元件。
这里想到的电子元器件也可按照动力源和功率消耗分类。动力源元器件一般用于推动其它元件,并包括电池、电容器、线圈和燃料电池。功率消耗元件包括电阻器、晶体管、集成电路(IC)、传感器等。
进而,这里想到的电子元器件也可划分为分立抑或集成的。分立元件是提供一种集中在电路上一个地方的特定电气性质的器件。例子是电阻器、电容器、二极管和晶体管。集成元器件是能在一个电路中、一个地方提供多种电气性能的元器件的组合。例子是这样的集成电路:其中多个元件和连接线迹结合在一起完成多种和复杂的功能如逻辑。
实例
分析试验方法
折光指数:折光指数(n)测定与厚度测定一起采用n&k分析仪分光光度计进行。
吸收系数:吸收系数(k)是与厚度测定一起采用n&k分析仪分光光度计进行的测定。
分子量:分子量(Mw)测定采用气相色谱术实施。
%通孔充填:%通孔充填测定是采用通孔充填晶片配合扫描电子显微镜完成的。
湿剥裂速率(在BOE、TMAH、NE-89或其它湿化学品中):晶片被放入到想出的湿化学品中蘸涂以薄膜,其中化学品处于各种不同温度,例如,室温、50℃、75℃等,浸渍各种不同时间,例如,15s、30s、1min、2min、5min和10min。测定浸渍前和浸渍后的膜厚。通过膜厚在单位时间内的变化计算湿剥裂速率。
等温重量分析(ITGA)重量减轻:总重量减轻在TA仪器2950热重量分析仪(TGA)上测定,该仪器配合TA仪器热分析控制器和相关软件一起使用。采用一种Platinel II热电偶和标准炉子,温度范围介于约25℃~约1000℃,加热速率为约0.1℃~约100℃/min。少量样品(约7~约12mg)称重到TGA的天平(分辨率:约0.1g;精度:到+约0.1%)并在白金锅上加热。样品是在氮气下加热的,吹洗速率为约100ml/min(约60ml/min吹入炉子,约40ml/min送往天平)。样品在约20℃的氮气氛下平衡约20min,随后,温度以约10℃/min的速率升高到约130℃,并在约130℃维持约1min。随后,温度以约10℃/min的速率缓慢爬升到约250℃并在250℃维持约3min。计算在约250℃下维持90s时间的重量减轻。
FTIR(傅立叶红外)分析:以透射模式摄取FTIR光谱利用NicoletMagna 550FTIR光谱仪。基材背景光谱在未涂布的基材上摄取。薄膜光谱利用基材作为背景来摄取。随后,分析薄膜光谱的峰位置和强度的改变。测定想出的组合物或溶液中任何一种的FTIR的方法可见诸于同一受让人的美国专利申请序列号:US 20030151031,2003-08-14提交,在此全文收作参考。
接触角:测定任何想出的组合物或溶液的接触角的方法可见诸于同一受让人的美国专利申请序列号:PCT/US02/36327,在此全文收作参考。
pH测定:测定任何想出的组合物或溶液的pH值的方法可见诸于同一受让人的美国专利申请序列号:PCT/US001/45306,在此全文收作参考。
符号和缩略语
AC/Absorb.Comp.=吸收组合物
POR=多孔的
ER=腐蚀速率
Pre=预浸渍SOG薄膜平均厚度,埃(A)
WER=湿腐蚀速率
Rev A=有机硅氧烷聚合物,pH=1.5
Rev C=Rev A加上600ppm酸化TMAA的组分,在pH1.5
TMAH=四甲基铵氢氧化物
TMAA=四甲基铵乙酸盐
DPG=二丙二醇
BOE=缓冲的氧化物腐蚀剂
193=193nm
248=248nm
合成这里描述的吸收组合物和/或涂料的某些方法展示在下面的实例中。在下面实例中制备的溶液和涂料被微调和/或制备成与几种光刻胶材料相容,包括在大约157nm、193nm、248nm和375nm吸收的那些(光刻胶)。193nm光刻胶材料的例子是一种丙烯酸酯光刻胶材料。
实例1
表3~9显示用几种想出的吸收组合物和用作湿剥裂剂使用的不同TMAH水溶液浓度显影的温度矩阵。该表显示烘烤顺序、%含水TMAH、腐蚀速率(ER)和pH值。如表所示,“Rev A”表示在pH1.5的有机硅氧烷聚合物。如表所示,Rev C包含Rev A和600ppm酸化TMAA在pH1.5。
实例2
图2~5显示TMAA(图2)、TMAN(图3)、APTEOS三氟甲磺酸盐(图4)和三氟甲磺酸铵(图5)在250℃烘烤板上90s后的TGA数据。
实例3
图6显示每种路线对想出的吸收组合物和/或涂料的湿腐蚀速率的影响。通过测定采用APTEOS-甲苯磺酸盐和TMAH-甲苯磺酸盐/TMAH-三氟甲磺酸盐的想出的吸收组合物和/或涂料的湿腐蚀速率(WER)而得到的原始数据表示在表10和11中。
实例4
表12显示包含材料改性剂,特别是含氮增粘剂,的想出的吸收组合物的含氮量。表13和图7~15显示表11中的组合物的腐蚀速率数据和薄膜性质数据。
实例5
在本实例中给出2种想出的吸收组合物的溶液陈化研究,其结果载于表14和图16~25。溶液陈化条件如下:
√40℃贮存5日
√室温贮存1个月
√0℃贮存6个月
√-20℃贮存6个月
响应试验如下:
√GPC(Mn、Mw、MP、Mz、Mz+1、多分散性)
√在130/240℃烘烤90s的薄膜厚度
√在193nm的反射率、、折光指数和消光系数
√TMAH电阻
√500:1BOE剥裂速率
√接触角(水与乙二醇)
实例6
在本实例中给出2种想出的吸收组合物的薄膜陈化研究,其结果载于表15~16。薄膜陈化条件如下:
√1h
√3h
√8h
√24h
√48h
响应试验如下:
√TMAH电阻
√500:1BOE剥裂速率
实例7
表17~19显示,与包含有机硅氧烷聚合物在pH等于1.5的“RevA”相比较,3种不同吸收组合物的湿腐蚀速率研究。表17显示包含组分“Rev A”,连同1070ppm APTEOS三氟甲磺酸盐的吸收组合物在pH1.5。表18显示包含组分“Rev A”,连同1070ppm APTEOS三氟甲磺酸盐和1.5%DPG的吸收组合物在pH1.5。表19显示包含组分“Rev A”,连同1070ppm APTEOS MSA和1.5%DPG的吸收组合物在pH1.5。表20显示上面提到的同样组合物的分子量增长和陈化表现。
实例8
表21显示不同吸收组合物与包含有机硅氧烷聚合物的“Rev A”组合物相比较在pH1.5和5.5的湿腐蚀速率的研究。实验组合物包含“Rev A”组合物连同1070ppm APTEOS硝酸盐和4种不同浓度DPG。
实例9
图26和表22~23显示有机硅氧烷聚合物在pH1.5,和不同浓度APTEOS三氟甲磺酸盐的水接触角、n&k和分子量。图27显示不同含量APTEOS三氟甲磺酸盐的所提到的有机硅氧烷聚合物的FTIR数据。表24显示具有高含量APTEOS三氟甲磺酸盐的有机硅氧烷聚合物组合物之一的湿腐蚀速率。
至此,已公开了包含至少一种无机化合物、至少一种吸收化合物和材料,和至少一种材料改性剂,例如,至少一种增粘剂、至少一种pH调节剂、至少一种porogen、至少一种高沸点溶剂、至少一种交联剂、至少一种催化剂和/或至少一种封端剂,和/或其组合,的吸收组合物、涂料、旋涂材料、旋涂无机材料和旋涂玻璃材料的组合物具体实施方案和应用以及生产方法。然而,对于本领域技术人员来说显然,除了已描述的那些之外在不偏离这里描述的本发明概念的条件下还可能存在更多的修改方案。因此,本发明的主题唯一地由所附权利要求的精神限定。另外,在解释正文和权利要求的过程中,所有的术语都应按照与该内容一致的尽可能广义的方式解释。特别是,术语“包含”应以非排他方式解释为指要素、组分和步骤,指出,所给出的要素、组分和步骤可以存在或利用,或者与未明确列举的其它要素、组分或步骤组合。

Claims (56)

1.一种吸收组合物,包含至少一种无机-基化合物、至少一种吸收化合物和至少一种材料改性剂。
2.权利要求1的吸收组合物,其中至少一种材料改性剂包含至少一种增粘剂、至少一种交联剂、至少一种porogen、至少一种高沸点溶剂、至少一种催化剂、至少一种封端剂、至少一种pH调节剂或其组合。
3.权利要求1的组合物,其中吸收化合物是有机-基化合物。
4.权利要求1的组合物,其中吸收化合物在位于小于375nm波长的至少约0.5nm宽波长范围强烈吸收光。
5.权利要求1的组合物,其中吸收化合物在位于小于375nm波长的至少约10nm宽波长范围强烈吸收光。
6.权利要求4的组合物,其中该范围包含小于约260nm的波长。
7.权利要求1的组合物,其中吸收化合物包含至少一个苯环和一个选自羟基基团、胺基团、羧酸基团和取代的甲硅烷基基团这一组基团中的反应性基团。
8.权利要求7的组合物,其中吸收化合物包含2或更多个苯环。
9.权利要求8的组合物,其中2或更多个苯环是稠合的。
10.权利要求7的组合物,其中有机吸收化合物包含一种吸收化合物,后者包含2,6-二羟蒽醌、9-蒽甲酸、9-蒽甲醇、茜素、醌茜、樱草灵、2-羟基-4-(3-三乙氧基甲硅烷基丙氧基)-二苯基甲酮、玫瑰酸、三乙氧基甲硅烷基丙基-1,8-萘二甲酰亚胺、9-蒽羧基烷基三乙氧基硅烷、苯基三乙氧基硅烷、10-菲羧基-甲基三乙氧基硅烷、4-苯基偶氮酚、4-乙氧基苯基偶氮苯-4-羧基-甲基三乙氧基硅烷、4-甲氧基苯基偶氮苯-4-羧基-甲基三乙氧基硅烷或其混合物。
11.权利要求1的组合物,其中无机-基化合物包含硅-基化合物。
12.权利要求11的组合物,其中硅-基化合物包含聚合物。
13.权利要求12的组合物,其中聚合物包含有机硅氧烷化合物,例如,甲基硅氧烷、甲基硅倍半氧烷、苯基硅氧烷、苯基硅倍半氧烷、丙烯酸类硅氧烷聚合物、甲基苯基硅氧烷、甲基苯基硅倍半氧烷、硅酸盐聚合物、硅氮烷聚合物或其混合物。
14.权利要求12的组合物,其中聚合物包含氢硅氧烷、氢硅倍半氧烷、有机氢化硅氧烷、硅倍半氧烷-基化合物、硅酸衍生物和有机氢化硅倍半氧烷聚合物;氢硅倍半氧烷与烷氧基氢化硅氧烷的共聚物、羟基氢化硅氧烷、硅酸的衍生物或其混合物。
15.权利要求12的组合物,其中聚合物的通式包含(H0-1.0SiO1.5-2.0)x,其中x大于约4,以及(H0-1.0SiO1.5-2.0)n(R0-1.0SiO1.5-2.0)m,其中m大于0,m与n之和介于约4~约5000并且R是C1~C20烷基基团或C6~C12芳基基团。
16.权利要求2的组合物,其中至少一种增粘剂包含碱。
17.权利要求2的组合物,其中至少一种增粘剂包含氮。
18.权利要求2的组合物,其中至少一种增粘剂包含磷。
19.权利要求16或17的组合物,其中至少一种增粘剂包含胺碱。
20.权利要求19的组合物,其中至少一种增粘剂包含铵、吡啶、苯胺、TMAH、CTAH、TBAH、APTEOS或其组合。
21.权利要求17的组合物,其中至少一种增粘剂包含至少一种胺盐。
22.权利要求21的组合物,其中至少一种胺盐包含弱酸/弱碱、弱酸/强碱或强酸/强碱。
23.权利要求22的组合物,其中至少一种增粘剂包含TMAA、TMAN或其组合。
24.权利要求22的组合物,其中至少一种胺盐包含强酸/弱碱。
25.权利要求21的组合物,其中至少一种增粘剂包含APTEOS三氟甲磺酸盐、APTEOS甲磺酸盐、APTEOS硝酸盐、APTEOS nfbs、三氟甲磺酸铵、铵nfbs、甲磺酸铵、硝酸铵、TMAH三氟甲磺酸盐、TMAH nfbs、TMAH甲磺酸盐、TMAH硝酸盐或其组合。
26.权利要求2的组合物,其中至少一种增粘剂包含酸。
27.权利要求2的组合物,该至少一种增粘剂包含中性化合物。
28.权利要求2的组合物,其中至少一种催化剂包含弱酸。
29.权利要求2的组合物,其中至少一种增粘剂包含树脂-基材料。
30.权利要求29的组合物,其中树脂-基材料包含含酚树脂、线型酚醛树脂、有机丙烯酸酯树脂或苯乙烯树脂至少之一。
31.权利要求2的组合物,其中增粘剂包含聚二甲基硅氧烷-基材料、含烷氧基或羟基硅烷单体、含乙烯基硅烷单体、丙烯酸酯化硅烷单体或氢化甲硅烷基化合物。
32.权利要求2的组合物,其中至少一种封端剂包含终止单体。
33.权利要求32的组合物,其中终止单体包含硅烷化合物。
34.权利要求2的组合物,其中至少一种porogen包含有机化合物。
35.权利要求34的组合物,其中有机化合物包含聚合物。
36.权利要求35的组合物,其中聚合物包含聚环氧乙烷。
37.权利要求2的组合物,其中至少一种porogen还包含催化剂。
38.权利要求37的组合物,其中催化剂包含胺盐。
39.权利要求38的组合物,其中胺盐包含弱酸/弱碱或弱酸/强碱的盐。
40.权利要求37的组合物,其中催化剂包含TMAA、TMAN、TBAA、TBAN、CTAA、CTAN或其组合。
41.包含权利要求1或权利要求2之一的组合物以及附加溶剂或溶剂混合物的涂料溶液。
42.权利要求41的涂料溶液,其中该溶液为约0.5%~约20wt%吸收材料。
43.一种制造吸收组合物的方法,包括:
合并至少一种无机-基化合物、至少一种吸收化合物、至少一种材料改性剂、酸/水混合物,以及一种或多种溶剂以形成反应混合物;以及
让该反应混合物在室温形成吸收组合物。
44.权利要求43的方法,其中至少一种材料改性剂包含至少一种增粘剂、至少一种交联剂、至少一种porogen、至少一种高沸点溶剂、至少一种催化剂、至少一种封端剂、至少一种pH调节剂或其组合。
45.一种制造吸收组合物的方法,包括:
合并至少一种无机-基化合物、至少一种吸收化合物、至少一种材料改性剂、酸/水混合物,以及一种或多种溶剂以形成反应混合物;以及
加热该反应混合物以形成吸收组合物。
46.权利要求45的方法,其中至少一种材料改性剂包含至少一种增粘剂、至少一种交联剂、至少一种porogen、至少一种高沸点溶剂、至少一种催化剂、至少一种封端剂、至少一种pH调节剂或其组合。
47.一种制造吸收组合物的方法,包括:
合并至少一种无机-基化合物、至少一种吸收化合物、至少一种材料改性剂以及一种或多种溶剂以形成反应混合物;其中至少一种材料改性剂包含至少一种酸和水;以及
加热该反应混合物以形成吸收材料、涂层或薄膜。
48.权利要求47的方法,其中至少一种材料改性剂包含至少一种增粘剂、至少一种交联剂、至少一种porogen、至少一种高沸点溶剂、至少一种催化剂、至少一种封端剂、至少一种pH调节剂或其组合。
49.一种制造吸收组合物的方法,包括:
合并至少一种无机-基化合物、至少一种吸收化合物、至少一种材料改性剂以及一种或多种溶剂以形成反应混合物;其中至少一种材料改性剂包含至少一种酸和水;以及
让该反应混合物形成吸收材料、涂层或薄膜。
50.权利要求49的方法,其中至少一种材料改性剂包含至少一种增粘剂、至少一种交联剂、至少一种porogen、至少一种高沸点溶剂、至少一种催化剂、至少一种封端剂、至少一种pH调节剂或其组合。
51.一种多层材料,包含权利要求1或2之一的吸收组合物,该组合物偶合在光刻胶材料上。
52.一种半导体元器件,包含权利要求1或2之一的吸收组合物。
53.一种薄膜,包含权利要求1或2中任一项的吸收组合物。
54.一种电子元器件,包含权利要求1或2中任一项的吸收组合物。
55.权利要求1或2之一的组合物,其中吸收组合物设计成至少部分地被除掉。
56.权利要求51的组合物,其中光刻胶材料在包含157nm、193nm、248nm和365nm的波长范围吸收光。
CN2004800401815A 2003-11-18 2004-11-17 填孔和光刻用的抗反射涂层及其制备方法 Active CN1902546B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/717,028 US8053159B2 (en) 2003-11-18 2003-11-18 Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
US10/717,028 2003-11-18
PCT/US2004/038517 WO2005049681A2 (en) 2003-11-18 2004-11-17 Antireflective coatings for via fill and photolithography applications and methods of preparation thereof

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201210350991.7A Division CN103627316B (zh) 2003-11-18 2004-11-17 填孔和光刻用的抗反射涂层及其制备方法

Publications (2)

Publication Number Publication Date
CN1902546A true CN1902546A (zh) 2007-01-24
CN1902546B CN1902546B (zh) 2012-11-14

Family

ID=34619917

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201210350991.7A Active CN103627316B (zh) 2003-11-18 2004-11-17 填孔和光刻用的抗反射涂层及其制备方法
CN2004800401815A Active CN1902546B (zh) 2003-11-18 2004-11-17 填孔和光刻用的抗反射涂层及其制备方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201210350991.7A Active CN103627316B (zh) 2003-11-18 2004-11-17 填孔和光刻用的抗反射涂层及其制备方法

Country Status (7)

Country Link
US (2) US8053159B2 (zh)
EP (1) EP1695142B1 (zh)
JP (2) JP4857119B2 (zh)
KR (2) KR20060099532A (zh)
CN (2) CN103627316B (zh)
TW (1) TWI374914B (zh)
WO (1) WO2005049681A2 (zh)

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
US8053159B2 (en) 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
JP5102428B2 (ja) * 2003-11-25 2012-12-19 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 導波路組成物およびこれから形成された導波路
KR100586165B1 (ko) * 2003-12-30 2006-06-07 동부일렉트로닉스 주식회사 바닥 반사 방지 코팅 방법
US7435074B2 (en) * 2004-03-13 2008-10-14 International Business Machines Corporation Method for fabricating dual damascence structures using photo-imprint lithography, methods for fabricating imprint lithography molds for dual damascene structures, materials for imprintable dielectrics and equipment for photo-imprint lithography used in dual damascence patterning
US20080157065A1 (en) * 2004-08-03 2008-07-03 Ahila Krishnamoorthy Compositions, layers and films for optoelectronic devices, methods of production and uses thereof
US8901268B2 (en) 2004-08-03 2014-12-02 Ahila Krishnamoorthy Compositions, layers and films for optoelectronic devices, methods of production and uses thereof
US20060105567A1 (en) * 2004-11-12 2006-05-18 Intel Corporation Method for forming a dual-damascene structure
JP4542927B2 (ja) * 2005-03-17 2010-09-15 富士フイルム株式会社 膜形成用組成物、該組成物から得られた絶縁膜およびそれを有する電子デバイス
EP1877863A2 (en) * 2005-04-14 2008-01-16 The President and Fellows of Harvard College Adjustable solubility in sacrificial layers for microfabrication
KR100685734B1 (ko) * 2005-06-07 2007-02-26 삼성전자주식회사 다공성 스핀 온 글래스 조성물, 이의 제조 방법 및 이를이용한 다공성 실리콘 산화막 제조 방법
DE112006002140B4 (de) * 2005-08-12 2022-07-14 GM Global Technology Operations LLC (n. d. Ges. d. Staates Delaware) Hydrophile Beschichtung für Brennstoffzellen-Bipolarplatte und Verfahren zur Herstellung derselben
JP4566861B2 (ja) * 2005-08-23 2010-10-20 富士通株式会社 レジスト組成物、レジストパターンの形成方法、半導体装置及びその製造方法
NO325797B1 (no) * 2005-10-14 2008-07-21 Nor X Ind As Lysbeskyttelsesmiddel basert på organisk/uorganisk hybridpolymer, fremgangsmåte til fremstilling og anvendelse av samme
JP2007169627A (ja) * 2005-11-28 2007-07-05 Konica Minolta Medical & Graphic Inc 光硬化性組成物、活性光線硬化型インク組成物及び画像形成方法
US7550249B2 (en) 2006-03-10 2009-06-23 Az Electronic Materials Usa Corp. Base soluble polymers for photoresist compositions
JP4788415B2 (ja) * 2006-03-15 2011-10-05 ソニー株式会社 半導体装置の製造方法
WO2007144453A1 (en) * 2006-06-13 2007-12-21 Braggone Oy Carbosilane polymer compositions for anti-reflective coatings
US7704670B2 (en) * 2006-06-22 2010-04-27 Az Electronic Materials Usa Corp. High silicon-content thin film thermosets
US7759046B2 (en) * 2006-12-20 2010-07-20 Az Electronic Materials Usa Corp. Antireflective coating compositions
US8026040B2 (en) 2007-02-20 2011-09-27 Az Electronic Materials Usa Corp. Silicone coating composition
US8642246B2 (en) 2007-02-26 2014-02-04 Honeywell International Inc. Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof
US8524441B2 (en) 2007-02-27 2013-09-03 Az Electronic Materials Usa Corp. Silicon-based antireflective coating compositions
KR101032093B1 (ko) * 2007-03-16 2011-05-02 후지쯔 가부시끼가이샤 실리콘계 절연막의 에칭 후처리제, 반도체 장치의 제조 방법 및 반도체 장치
US20090081579A1 (en) * 2007-09-24 2009-03-26 International Business Machines Corporation Functionalized carbosilane polymers and photoresist compositions containing the same
WO2009060125A1 (en) * 2007-11-06 2009-05-14 Braggone Oy Carbosilane polymer compositions for anti-reflective coatings
WO2009108574A2 (en) * 2008-02-25 2009-09-03 Honeywell International Inc. Processable inorganic and organic polymer formulations, methods of production and uses thereof
US7955782B2 (en) * 2008-09-22 2011-06-07 Honeywell International Inc. Bottom antireflective coatings exhibiting enhanced wet strip rates, bottom antireflective coating compositions for forming bottom antireflective coatings, and methods for fabricating the same
JP2010240868A (ja) * 2009-04-01 2010-10-28 Canon Inc インクジェット記録ヘッド及びその製造方法
US8557877B2 (en) 2009-06-10 2013-10-15 Honeywell International Inc. Anti-reflective coatings for optically transparent substrates
US8852848B2 (en) * 2010-07-28 2014-10-07 Z Electronic Materials USA Corp. Composition for coating over a photoresist pattern
JP5387534B2 (ja) * 2010-09-08 2014-01-15 信越化学工業株式会社 コーティング用組成物
US8507191B2 (en) 2011-01-07 2013-08-13 Micron Technology, Inc. Methods of forming a patterned, silicon-enriched developable antireflective material and semiconductor device structures including the same
US9176259B2 (en) * 2011-03-04 2015-11-03 Intermolecular, Inc. Sol-gel based antireflective (AR) coatings with controllable pore size using organic nanocrystals and dendrimers
JP5880891B2 (ja) * 2011-05-27 2016-03-09 日産化学工業株式会社 樹脂組成物
US8864898B2 (en) 2011-05-31 2014-10-21 Honeywell International Inc. Coating formulations for optical elements
CN103197514A (zh) * 2012-01-09 2013-07-10 上海微电子装备有限公司 有效减少孔显影缺陷的显影方法
TWI496800B (zh) * 2012-02-10 2015-08-21 Univ Texas 用於奈米微影術之含聚乳交酯/矽的嵌段共聚物
JP5327345B2 (ja) * 2012-02-23 2013-10-30 東レ株式会社 ネガ型感光性樹脂組成物、硬化膜、およびタッチパネル用部材。
KR20140075500A (ko) * 2012-12-11 2014-06-19 삼성정밀화학 주식회사 산화 안정성이 개선된 금속 나노입자 및 그 제조방법
KR20140075501A (ko) * 2012-12-11 2014-06-19 삼성정밀화학 주식회사 금속 나노입자의 제조 방법
US9348228B2 (en) 2013-01-03 2016-05-24 Globalfoundries Inc. Acid-strippable silicon-containing antireflective coating
KR101988193B1 (ko) 2013-11-08 2019-06-11 도쿄엘렉트론가부시키가이샤 화학적 폴리싱 및 평탄화를 위한 방법
CN104752192B (zh) * 2013-12-31 2017-11-14 中芯国际集成电路制造(上海)有限公司 一种在半导体衬底表面制作斜面的方法
JP6782532B2 (ja) * 2014-07-23 2020-11-11 日本乳化剤株式会社 イオン結合性塩、イオン結合性塩組成物およびこれを含む樹脂組成物
JP6196194B2 (ja) 2014-08-19 2017-09-13 信越化学工業株式会社 紫外線吸収剤、レジスト下層膜形成用組成物、及びパターン形成方法
SG11201704062XA (en) * 2014-12-01 2017-06-29 Honeywell Int Inc Carbosilane polymers
WO2016139578A1 (en) 2015-03-02 2016-09-09 Nestec S.A. Visible light barrier for dairy packaging
DE102015004437A1 (de) * 2015-04-02 2016-10-06 BAM Bundesanstalt für Materialforschung und -prüfung Fluoreszierendes Siloxanelastomer, Verfahren zu dessen Herstellung und die Verwendung
JP6803842B2 (ja) 2015-04-13 2020-12-23 ハネウェル・インターナショナル・インコーポレーテッドHoneywell International Inc. オプトエレクトロニクス用途のためのポリシロキサン製剤及びコーティング
JP7075209B2 (ja) * 2016-12-28 2022-05-25 東京応化工業株式会社 パターン形成方法及びポリシラン樹脂前駆体の製造方法
US10544330B2 (en) 2017-01-20 2020-01-28 Honeywell International Inc. Gap filling dielectric materials
WO2018163924A1 (ja) * 2017-03-09 2018-09-13 リンテック株式会社 グリーンシート形成用剥離フィルム
KR20210057843A (ko) 2019-11-12 2021-05-24 삼성디스플레이 주식회사 표시 장치 및 이의 제조 방법
KR102223942B1 (ko) 2020-04-16 2021-03-05 동양디앤에프 주식회사 3d 입체영상 또는 가상현실(vr) 시뮬레이션을 활용한 건축용 벽체 시공방법 및 그 방법에 따라 시공된 건축용 벽체
CN113969068B (zh) * 2020-07-24 2022-11-15 中国科学院宁波材料技术与工程研究所 一种碳素超黑吸光涂层的制备方法

Family Cites Families (762)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US58929A (en) * 1866-10-16 Improved keeper for bolts
US106376A (en) * 1870-08-16 Improvement in harrow and cultivator
US172896A (en) * 1876-02-01 Improvement in hydraulic elevators
US31987A (en) * 1861-04-09 Machinist s instrument foe determining geometrical lines
CA586038A (en) 1956-03-26 1959-10-27 General Electric Company Organopolysiloxane resins
US5270285A (en) 1965-02-28 1993-12-14 Dai Nippon Insatsu Kabushiki Kaisha Sheet for heat transference
US3547766A (en) * 1966-11-25 1970-12-15 Du Pont Laminated article
US3615272A (en) 1968-11-04 1971-10-26 Dow Corning Condensed soluble hydrogensilsesquioxane resin
US3635529A (en) 1969-06-24 1972-01-18 Walter R Nass Motor vehicle wheel assembly
US3784378A (en) 1971-10-18 1974-01-08 Du Pont Double-exposure method for producing reverse images in photopolymers
JPS5125070B2 (zh) 1972-07-11 1976-07-28
US3884702A (en) 1972-12-14 1975-05-20 Unitika Ltd Photosensitive polyamide composition
JPS5628935B2 (zh) 1973-06-28 1981-07-04
US3929489A (en) 1973-09-14 1975-12-30 Eastman Kodak Co Lithographic plates having radiation sensitive elements developable with aqueous alcohol
US4043812A (en) 1973-11-19 1977-08-23 Xerox Corporation Electrostatographic imaging member and process using anthracene functional polymers
US3873361A (en) 1973-11-29 1975-03-25 Ibm Method of depositing thin film utilizing a lift-off mask
US4107133A (en) * 1974-01-14 1978-08-15 Dainippon Ink & Chemicals, Inc. Colored polyethylene molding compositions
US3925077A (en) 1974-03-01 1975-12-09 Horizons Inc Photoresist for holography and laser recording with bleachout dyes
US4191571A (en) 1974-04-26 1980-03-04 Hitachi, Ltd. Method of pattern forming in a photosensitive composition having a reciprocity law failing property
US4018606A (en) 1974-05-03 1977-04-19 Eastman Kodak Company Organic azo pigment sensitizers for photoconductive layers
US4018607A (en) 1974-05-03 1977-04-19 Eastman Kodak Company Crystalline organic pigment sensitizers for photoconductive layers
US4053313A (en) 1975-06-03 1977-10-11 E. I. Du Pont De Nemours And Company Process for image reproduction using multilayer photosensitive solvent processable elements
US4048146A (en) 1975-10-14 1977-09-13 Eastman Kodak Company Radiation sensitive polymers of oxygen-substituted maleimides and elements containing same
US4052367A (en) 1975-10-14 1977-10-04 Eastman Kodak Company Radiation sensitive polymers of oxygen-substituted maleimides and elements containing same
JPS578279Y2 (zh) 1975-11-25 1982-02-17
JPS6038422B2 (ja) 1976-01-01 1985-08-31 ヘキスト アクチェンゲゼルシャフト アザメチン−金属錯化合物
JPS587001B2 (ja) 1976-06-25 1983-02-08 ジェイエスアール株式会社 耐久性のよい感圧抵抗体およびその製造方法
JPS6017214B2 (ja) 1977-01-14 1985-05-01 ジェイエスアール株式会社 可溶性メチルポリシロキサンおよびその製造法
JPS5738865Y2 (zh) 1977-02-07 1982-08-26
US4102683A (en) 1977-02-10 1978-07-25 Rca Corp. Nonreflecting photoresist process
JPS53124561U (zh) 1977-03-11 1978-10-03
US5475890A (en) 1977-03-17 1995-12-19 Applied Elastomerics, Inc. Gelatinous elastomer swabs
US5508334A (en) 1977-03-17 1996-04-16 Applied Elastomerics, Inc. Thermoplastic elastomer gelatinous compositions and articles
US5153254A (en) 1977-03-17 1992-10-06 Applied Elastomerics, Inc. Reusable lint remover
US5624294A (en) 1977-03-17 1997-04-29 Applied Elastomerics, Inc. Humdinger, gel spinner
US5262468A (en) 1977-03-17 1993-11-16 Applied Elastomerics, Inc. Thermoplastic elastomer gelatinous compositions
US5239723A (en) 1977-03-17 1993-08-31 Applied Elastomerics, Inc. Gelatinous elastomer swabs
US5334646B1 (en) 1977-03-17 1998-09-08 Applied Elastomerics Inc Thermoplastic elastomer gelatinous articles
US5655947A (en) 1977-03-17 1997-08-12 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic gel airfoils
US5324222A (en) 1977-03-17 1994-06-28 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic airfoils
US4618213A (en) 1977-03-17 1986-10-21 Applied Elastomerics, Incorporated Gelatinous elastomeric optical lens, light pipe, comprising a specific block copolymer and an oil plasticizer
US5336708A (en) 1977-03-17 1994-08-09 Applied Elastomerics, Inc. Gelatinous elastomer articles
US4369284A (en) 1977-03-17 1983-01-18 Applied Elastomerics, Incorporated Thermoplastic elastomer gelatinous compositions
GB1604414A (en) 1977-07-27 1981-12-09 Raychem Ltd Silicone resin
JPS6058467B2 (ja) 1977-10-22 1985-12-20 株式会社リコー 電子写真用感光体
JPS5952678B2 (ja) 1977-12-05 1984-12-20 富士電機株式会社 電子写真感光体用クリ−ニング研磨材
JPS5950182B2 (ja) 1977-12-16 1984-12-06 ジェイエスアール株式会社 シリコ−ン樹脂の組成物
JPS6017312B2 (ja) 1977-12-16 1985-05-02 ジェイエスアール株式会社 シリコ−ン樹脂組成物
JPS583249Y2 (ja) 1978-04-20 1983-01-20 株式会社東芝 偏向装置
US4302503A (en) * 1978-05-17 1981-11-24 Libbey-Owens-Ford Company Architectural spandrel
JPS56828Y2 (zh) 1978-08-08 1981-01-10
JPS5563335U (zh) 1978-10-23 1980-04-30
JPS6017335B2 (ja) 1979-03-13 1985-05-02 ジェイエスアール株式会社 シリコ−ンブロツクポリマ−の製造法
JPS6042426Y2 (ja) 1979-03-26 1985-12-26 三洋電機株式会社 スイツチ
JPS55165942A (en) 1979-06-12 1980-12-24 Japan Synthetic Rubber Co Ltd Thermoplastic resin composition
JPS55165922A (en) 1979-06-14 1980-12-24 Daicel Chem Ind Ltd Production of thermosetting organopolysiloxane
JPS56827A (en) 1979-06-15 1981-01-07 Japan Synthetic Rubber Co Ltd Production of block copolymer
US4299938A (en) 1979-06-19 1981-11-10 Ciba-Geigy Corporation Photopolymerizable and thermally polymerizable compositions
US4349609A (en) 1979-06-21 1982-09-14 Fujitsu Limited Electronic device having multilayer wiring structure
JPS5850417B2 (ja) 1979-07-31 1983-11-10 富士通株式会社 半導体装置の製造方法
US4257826A (en) 1979-10-11 1981-03-24 Texas Instruments Incorporated Photoresist masking in manufacture of semiconductor device
JPS5952893B2 (ja) 1979-12-05 1984-12-21 松下電器産業株式会社 エポキシ樹脂組成物
JPS56118465A (en) 1980-02-25 1981-09-17 Hitachi Ltd Thin film-forming coating liquid composition and its coating method
JPS56129261U (zh) 1980-02-27 1981-10-01
JPS6116113Y2 (zh) 1980-03-21 1986-05-19
JPS56151731A (en) 1980-04-25 1981-11-24 Japan Synthetic Rubber Co Ltd Preparation of silicone resin
US4290896A (en) 1980-05-27 1981-09-22 Dow Corning Corporation Dewatering fine coal slurries using organopolysiloxanes
US4935583A (en) 1980-05-30 1990-06-19 Kyle James C Insulated conductor with ceramic-connected elements
US4483107A (en) 1980-06-17 1984-11-20 Konishiroku Photo Industry Co., Ltd. Polishing method for electrophotographic photoconductive member
DE3173441D1 (en) 1980-08-26 1986-02-20 Japan Synthetic Rubber Co Ltd Ladder-like lower alkylpolysilsesquioxanes and process for their preparation
JPS6227417Y2 (zh) 1980-09-03 1987-07-14
JPS5914263B2 (ja) 1980-09-26 1984-04-03 富士通株式会社 樹脂被覆膜の形成方法
JPS5760330A (en) 1980-09-27 1982-04-12 Fujitsu Ltd Resin composition
JPS5783563A (en) 1980-11-12 1982-05-25 Fujitsu Ltd Liquid resin composition
JPS57112047U (zh) 1980-12-27 1982-07-10
US4515828A (en) 1981-01-02 1985-05-07 International Business Machines Corporation Planarization method
US4423135A (en) 1981-01-28 1983-12-27 E. I. Du Pont De Nemours & Co. Preparation of photosensitive block copolymer elements
JPS6034969Y2 (ja) 1981-01-30 1985-10-18 株式会社東芝 調理器
US4413052A (en) 1981-02-04 1983-11-01 Ciba-Geigy Corporation Photopolymerization process employing compounds containing acryloyl group and anthryl group
JPS57131250U (zh) 1981-02-07 1982-08-16
US4419437A (en) 1981-02-11 1983-12-06 Eastman Kodak Company Image-forming compositions and elements containing ionic polyester dispersing agents
US4312970A (en) 1981-02-20 1982-01-26 Dow Corning Corporation Silazane polymers from {R'3 Si}2 NH and organochlorosilanes
JPS57141642A (en) 1981-02-26 1982-09-02 Fujitsu Ltd Formation of pattern
JPS57141642U (zh) 1981-03-02 1982-09-04
US4362809A (en) 1981-03-30 1982-12-07 Hewlett-Packard Company Multilayer photoresist process utilizing an absorbant dye
JPS57168247U (zh) 1981-04-17 1982-10-23
JPS57168246U (zh) 1981-04-17 1982-10-23
JPH0350459Y2 (zh) 1981-04-29 1991-10-28
JPS635337Y2 (zh) 1981-05-06 1988-02-13
US4348471A (en) 1981-06-15 1982-09-07 Polychrome Corporation Positive acting composition yielding pre-development high visibility image after radiation exposure comprising acid free novolak, diazo oxide and acid sensitive dyestuff
JPS582809U (ja) 1981-06-29 1983-01-10 日立電線株式会社 オ−デイオ用コ−ド
JPS5810173U (ja) 1981-07-10 1983-01-22 三洋電機株式会社 販売装置
EP0076656B1 (en) 1981-10-03 1988-06-01 Japan Synthetic Rubber Co., Ltd. Solvent-soluble organopolysilsesquioxanes, processes for producing the same, and compositions and semiconductor devices using the same
JPS5866335U (ja) 1981-10-30 1983-05-06 松下電器産業株式会社 赤外線焦電素子
US4783347A (en) 1981-12-01 1988-11-08 General Electric Company Method for primerless coating of plastics
US4442197A (en) 1982-01-11 1984-04-10 General Electric Company Photocurable compositions
JPS58171416A (ja) 1982-04-02 1983-10-08 Hitachi Ltd 耐熱性重合体
JPS58174480U (ja) 1982-05-19 1983-11-21 シャープ株式会社 商品パツケ−ジの構造
US5741623A (en) 1982-07-30 1998-04-21 Tdk Corporation Optical recording medium
JPS5926049U (ja) 1982-08-11 1984-02-17 デルタ工業株式会社 自動車用シ−トポケツトの構造
DE3231147A1 (de) 1982-08-21 1984-02-23 Basf Ag, 6700 Ludwigshafen Positiv arbeitendes verfahren zur herstellung von reliefbildern oder resistmustern
US6194121B1 (en) 1982-09-25 2001-02-27 Tdk Corp. Optical recording medium
JPS5958054A (ja) 1982-09-29 1984-04-03 Fujitsu Ltd シリコ−ン樹脂溶液およびその製造方法
US4822718A (en) 1982-09-30 1989-04-18 Brewer Science, Inc. Light absorbing coating
JPH0612452B2 (ja) 1982-09-30 1994-02-16 ブリュ−ワ−・サイエンス・インコ−ポレイテッド 集積回路素子の製造方法
US4910122A (en) 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
US4434127A (en) 1982-12-09 1984-02-28 Dow Corning Corporation Heat curable polydiorganosiloxane compositions having enhanced release upon cure
JPS59109565A (ja) 1982-12-16 1984-06-25 Fujitsu Ltd コ−テイング樹脂溶液およびその製造方法
JPS59112487A (ja) 1982-12-20 1984-06-28 Fujitsu Ltd バブルメモリ装置および製法
JPS59105036U (ja) 1982-12-29 1984-07-14 いすゞ自動車株式会社 ウエストゲ−トバルブ装置
US4599320A (en) 1982-12-30 1986-07-08 Alcan International Limited Refractory lining material for electrolytic reduction cell for aluminum production and method of making the same
JPS59109565U (ja) 1983-01-14 1984-07-24 トヨタ自動車株式会社 自動車用樹脂製リヤバンパの取付構造
JPS59112834U (ja) 1983-01-14 1984-07-30 カルソニックカンセイ株式会社 熱交換器用のチユ−ブ供給装置
JPS59189126U (ja) 1983-02-15 1984-12-15 日立電線株式会社 光フアイバを用いた温度センサ
JPS59128469U (ja) 1983-02-18 1984-08-29 トヨタ自動車株式会社 ステアリングギヤボツクスの支持装置
JPS59132424U (ja) 1983-02-24 1984-09-05 三菱自動車工業株式会社 前輪駆動装置
CA1255952A (en) 1983-03-04 1989-06-20 Akihiro Furuta Positive type photoresist composition
US4590117A (en) 1983-03-10 1986-05-20 Toray Industries, Inc. Transparent material having antireflective coating
JPS59190211U (ja) 1983-06-06 1984-12-17 オリンパス光学工業株式会社 バル−ン鉗子
JPS59190019U (ja) 1983-06-06 1984-12-17 住友電気工業株式会社 難燃、低煙同軸ケ−ブル
JPS59226346A (ja) 1983-06-07 1984-12-19 Fuotopori Ouka Kk プリント回路の製造方法
KR890003903B1 (ko) 1983-06-29 1989-10-10 가부시끼가이샤 히다찌세이사꾸쇼 패턴 형성 방법
US4430153A (en) 1983-06-30 1984-02-07 International Business Machines Corporation Method of forming an RIE etch barrier by in situ conversion of a silicon containing alkyl polyamide/polyimide
US4617252A (en) 1983-07-01 1986-10-14 Philip A. Hunt Chemical Corporation Antireflective coatings for use in the manufacture of semi-conductor devices, methods and solutions for making such coatings, and the method for using such coatings to absorb light in ultraviolet photolithography processes
DE3324795A1 (de) 1983-07-09 1985-01-17 Merck Patent Gmbh, 6100 Darmstadt Negativ arbeitende fotoresistzusammensetzungen mit strahlungsabsorbierenden zusaetzen
JPS6076528U (ja) 1983-11-02 1985-05-29 ダイハツ工業株式会社 自動車におけるメ−タケ−ス
JPS6086017U (ja) 1983-11-15 1985-06-13 株式会社明電舎 移動用開閉装置
JPS6094412U (ja) 1983-12-02 1985-06-27 三菱マテリアル株式会社 ガンドリル
DE3344202A1 (de) 1983-12-07 1985-06-20 Merck Patent Gmbh, 6100 Darmstadt Positiv-fotoresistzusammensetzungen
JPS60101803U (ja) 1983-12-16 1985-07-11 日晴金属株式会社 パラボラアンテナ自在取付装置
GB8333901D0 (en) 1983-12-20 1984-02-01 Minnesota Mining & Mfg Radiationsensitive compositions
JPS60116132U (ja) 1984-01-10 1985-08-06 シャープ株式会社 風向変更装置
GB8401016D0 (en) 1984-01-14 1984-02-15 Hagen Perennatorwerk Organopolysiloxane compositions
JPS60131229U (ja) 1984-02-07 1985-09-03 株式会社アマダ タレットパンチプレスにおける回転金型固定装置
DE3565013D1 (en) 1984-02-10 1988-10-20 Ciba Geigy Ag Process for the preparation of a protection layer or a relief pattern
JPS60177029A (ja) 1984-02-21 1985-09-11 Toray Silicone Co Ltd オルガノポリシロキサン組成物の硬化方法
US4752649A (en) 1984-02-29 1988-06-21 Bowling Green State University Perester photoinitiators
US4831188A (en) 1984-02-29 1989-05-16 Bowling Green State University Perester photoinitiators
JPS60185892A (ja) 1984-03-06 1985-09-21 ジェイエスアール株式会社 紙塗被用組成物
JPS60149626U (ja) 1984-03-15 1985-10-04 藤倉ゴム工業株式会社 ギプス
JPS60152552U (ja) 1984-03-22 1985-10-11 ナイルス部品株式会社 オ−トオンリセツト回路を備えた自動車用ライト点消灯制御装置
JPS60161457U (ja) 1984-03-29 1985-10-26 日本精機株式会社 エアレス噴霧機
JPS60229945A (ja) 1984-04-28 1985-11-15 Fujitsu Ltd エポキシ樹脂系封止材料
US4702990A (en) 1984-05-14 1987-10-27 Nippon Telegraph And Telephone Corporation Photosensitive resin composition and process for forming photo-resist pattern using the same
DE3574418D1 (en) 1984-05-30 1989-12-28 Fujitsu Ltd Pattern-forming material and its production and use
JPS60254034A (ja) 1984-05-30 1985-12-14 Fujitsu Ltd パタ−ン形成方法
JPS60254132A (ja) 1984-05-31 1985-12-14 Fujitsu Ltd パタ−ン形成材料
JPS60254035A (ja) 1984-05-30 1985-12-14 Fujitsu Ltd パタ−ン形成方法
JPS60195148U (ja) 1984-06-05 1985-12-26 三菱重工業株式会社 傾斜ベルト式薄板連続鋳造装置
US4767571A (en) 1984-06-27 1988-08-30 Fuji Photo Film Co., Ltd. Infrared absorbent
US4705739A (en) 1984-07-16 1987-11-10 Minnesota Mining And Manufacturing Company Graphic arts imaging constructions using vapor-deposited colorant and metalloid layers with overlying photosensitive resist layer
US4763966A (en) 1984-07-16 1988-08-16 Fuji Photo Film Co., Ltd. Infrared absorbent
US5674648A (en) 1984-08-06 1997-10-07 Brewer Science, Inc. Anti-reflective coating
JPH0630951B2 (ja) * 1984-08-31 1994-04-27 キヤノン株式会社 被記録材
US4657965A (en) 1984-10-22 1987-04-14 Toshiba Silicone Co., Ltd. Silicone elastomer composition
US4594309A (en) 1984-10-31 1986-06-10 Allied Corporation α,β Diketone containing polymers as positive photoresist compositions
JPS61108628A (ja) 1984-11-01 1986-05-27 Fujitsu Ltd 低級アルキルポリシルセスキオキサンの製法
JPS61224330A (ja) 1985-03-29 1986-10-06 Fujitsu Ltd パツシベ−シヨン膜の形成方法
JPS61292342A (ja) 1985-05-17 1986-12-23 Fujitsu Ltd 多層配線構造体の製法
US4670299A (en) 1984-11-01 1987-06-02 Fujitsu Limited Preparation of lower alkyl polysilsesquioxane and formation of insulating layer of silylated polymer on electronic circuit board
US4587138A (en) 1984-11-09 1986-05-06 Intel Corporation MOS rear end processing
US4620986A (en) 1984-11-09 1986-11-04 Intel Corporation MOS rear end processing
US4705729A (en) 1984-11-19 1987-11-10 Hewlett-Packard Company Method for photochemically enhancing resolution in photolithography processes
JPS6198755U (zh) 1984-12-03 1986-06-24
JPH0114596Y2 (zh) 1984-12-05 1989-04-28
IT1177373B (it) 1984-12-06 1987-08-26 Bioresearch Spa Sali della 5'-metiltio-5'-deossiadenosina con acidi solfonici a lunga catena alchilica
JPS6198764U (zh) 1984-12-06 1986-06-24
US4708925A (en) 1984-12-11 1987-11-24 Minnesota Mining And Manufacturing Company Photosolubilizable compositions containing novolac phenolic resin
JPS61127732U (zh) 1985-01-31 1986-08-11
JPS61274497A (ja) 1985-05-29 1986-12-04 Furuno Electric Co Ltd 送受波器
JPS61159765U (zh) 1985-03-22 1986-10-03
FR2579552B1 (fr) 1985-03-27 1990-06-08 Honda Motor Co Ltd Dispositif de direction des roues avant et arriere pour vehicule
JPS61221232A (ja) 1985-03-28 1986-10-01 Fujitsu Ltd シリル化ポリオルガノシルセスキオキサンの製法
JPH0414263Y2 (zh) 1985-04-09 1992-03-31
JPS61256347A (ja) 1985-05-10 1986-11-13 Hitachi Ltd アルカリ可溶性シロキサン重合体
EP0204963B1 (en) 1985-05-10 1993-01-13 Hitachi, Ltd. Use of Alkali-Soluble Polyorganosilsesquioxane Polymers in a resist for preparing electronics parts.
US4745169A (en) 1985-05-10 1988-05-17 Hitachi, Ltd. Alkali-soluble siloxane polymer, silmethylene polymer, and polyorganosilsesquioxane polymer
US4663414A (en) 1985-05-14 1987-05-05 Stauffer Chemical Company Phospho-boro-silanol interlayer dielectric films and preparation
JPS61260242A (ja) 1985-05-15 1986-11-18 Fujitsu Ltd レジストパタ−ンの形成方法
JPS61285450A (ja) 1985-06-12 1986-12-16 Tokyo Ohka Kogyo Co Ltd 感光性組成物
JPS6289907A (ja) 1985-06-19 1987-04-24 Sumitomo Bakelite Co Ltd 偏光膜一体型透明導電性フイルム
US4595599A (en) 1985-06-21 1986-06-17 Advanced Chemical Technologies Company Luminescent silane
US4674176A (en) 1985-06-24 1987-06-23 The United States Of America As Represented By The United States Department Of Energy Planarization of metal films for multilevel interconnects by pulsed laser heating
US4681795A (en) 1985-06-24 1987-07-21 The United States Of America As Represented By The Department Of Energy Planarization of metal films for multilevel interconnects
US4814578A (en) 1985-06-24 1989-03-21 The United States Of America As Represented By The Department Of Energy Planarization of metal films for multilevel interconnects
US4609614A (en) 1985-06-24 1986-09-02 Rca Corporation Process of using absorptive layer in optical lithography with overlying photoresist layer to form relief pattern on substrate
US4816049A (en) 1985-07-12 1989-03-28 Hoya Corporation Process of surface treating laser glass
US5034189A (en) 1985-08-27 1991-07-23 The Regents Of The University Of California Fluorescent probe for rapid measurement of analyte concentration
DE3684745D1 (de) 1985-08-29 1992-05-14 Du Pont Photopolymerisierbare zusammensetzung mit dizyklopentylacrylat oder methacrylat enthaltenden acrylcopolymeren.
US4786569A (en) 1985-09-04 1988-11-22 Ciba-Geigy Corporation Adhesively bonded photostructurable polyimide film
US4923638A (en) 1985-09-30 1990-05-08 Fuji Photo Film Co., Ltd. Near infrared absorbing composition
JPS6267561U (zh) 1985-10-18 1987-04-27
US4723978A (en) 1985-10-31 1988-02-09 International Business Machines Corporation Method for a plasma-treated polysiloxane coating
CA1325354C (en) 1985-12-09 1993-12-21 Yasushi Umeda Photosensitive resin base printing material
JPS6296526U (zh) 1985-12-09 1987-06-19
JPS6296942U (zh) 1985-12-10 1987-06-20
JPS62106632U (zh) 1985-12-25 1987-07-08
JP2619358B2 (ja) 1986-01-08 1997-06-11 株式会社日立製作所 感光性樹脂組成物
US4678835A (en) 1986-01-30 1987-07-07 Ppg Industries, Inc. Coating composition containing an ungelled reaction product as a curative
JPH0517335Y2 (zh) 1986-02-13 1993-05-10
JPH0812899B2 (ja) 1986-02-20 1996-02-07 富士通株式会社 高周波回路用パツケ−ジ
US4693959A (en) 1986-03-07 1987-09-15 E.I. Du Pont De Nemours And Company Adhesion promotion in photoresist lamination and processing
JPS62215944A (ja) 1986-03-18 1987-09-22 Fujitsu Ltd 感光性耐熱樹脂組成物及び絶縁層形成方法
JPH051969Y2 (zh) 1986-03-26 1993-01-19
JPH0344746Y2 (zh) 1986-04-04 1991-09-20
JPS62184452U (zh) 1986-05-09 1987-11-24
JPS62276543A (ja) 1986-05-26 1987-12-01 Japan Synthetic Rubber Co Ltd パタ−ン形成方法
JPS62284352A (ja) 1986-06-02 1987-12-10 Oki Electric Ind Co Ltd ネガ型フォトレジスト
US4676867A (en) 1986-06-06 1987-06-30 Rockwell International Corporation Planarization process for double metal MOS using spin-on glass as a sacrificial layer
JPS62299965A (ja) 1986-06-20 1987-12-26 Fujitsu Ltd ネガ形レジスト組成物
DE3760773D1 (en) 1986-07-25 1989-11-16 Oki Electric Ind Co Ltd Negative resist material, method for its manufacture and method for using it
JPS63139303A (ja) 1986-08-05 1988-06-11 Fuji Photo Film Co Ltd 赤外線吸収性組成物
JPH0452678Y2 (zh) 1986-08-26 1992-12-10
US4806504A (en) 1986-09-11 1989-02-21 Fairchild Semiconductor Corporation Planarization method
US4732858A (en) 1986-09-17 1988-03-22 Brewer Science, Inc. Adhesion promoting product and process for treating an integrated circuit substrate
US4950583A (en) 1986-09-17 1990-08-21 Brewer Science Inc. Adhesion promoting product and process for treating an integrated circuit substrate therewith
US4731264A (en) 1986-10-03 1988-03-15 Ppg Industries, Inc. Sol-gel compositions containing silane and alumina
US4863827A (en) 1986-10-20 1989-09-05 American Hoechst Corporation Postive working multi-level photoresist
US6033283A (en) 1986-10-21 2000-03-07 Applied Elastomerics, Inc. Humdinger, string spinning toy
JPS6372745U (zh) 1986-10-24 1988-05-16
US4898907A (en) 1986-12-03 1990-02-06 Dow Corning Corporation Compositions of platinum and rhodium catalyst in combination with hydrogen silsesquioxane resin
US4756977A (en) 1986-12-03 1988-07-12 Dow Corning Corporation Multilayer ceramics from hydrogen silsesquioxane
US4822697A (en) 1986-12-03 1989-04-18 Dow Corning Corporation Platinum and rhodium catalysis of low temperature formation multilayer ceramics
US4808653A (en) 1986-12-04 1989-02-28 Dow Corning Corporation Coating composition containing hydrogen silsesquioxane resin and other metal oxide precursors
US4753855A (en) 1986-12-04 1988-06-28 Dow Corning Corporation Multilayer ceramic coatings from metal oxides for protection of electronic devices
US4911992A (en) 1986-12-04 1990-03-27 Dow Corning Corporation Platinum or rhodium catalyzed multilayer ceramic coatings from hydrogen silsesquioxane resin and metal oxides
US5008320A (en) 1986-12-04 1991-04-16 Dow Corning Corporation Platinum or rhodium catalyzed multilayer ceramic coatings from hydrogen silsesquioxane resin and metal oxides
JPH0337965Y2 (zh) 1986-12-26 1991-08-12
JPH0450182Y2 (zh) 1986-12-26 1992-11-26
JPH0819381B2 (ja) 1987-01-06 1996-02-28 日本合成ゴム株式会社 コーティング用組成物
US5328976A (en) 1987-01-09 1994-07-12 Allied-Signal Inc. Carbon-containing black glass monoliths
JPS63117074U (zh) 1987-01-23 1988-07-28
JPS63118739U (zh) 1987-01-26 1988-08-01
JPH0432217Y2 (zh) 1987-01-29 1992-08-03
JPS63207829A (ja) 1987-02-23 1988-08-29 Hitachi Chem Co Ltd 難燃性フエノ−ル樹脂積層板の製造方法
JPS63137437U (zh) 1987-03-03 1988-09-09
JPS63137972U (zh) 1987-03-03 1988-09-12
US5079600A (en) 1987-03-06 1992-01-07 Schnur Joel M High resolution patterning on solid substrates
US5077085A (en) 1987-03-06 1991-12-31 Schnur Joel M High resolution metal patterning of ultra-thin films on solid substrates
US5389496A (en) 1987-03-06 1995-02-14 Rohm And Haas Company Processes and compositions for electroless metallization
JPH0340174Y2 (zh) 1987-03-11 1991-08-23
JPS63149636U (zh) 1987-03-20 1988-10-03
DE3810247A1 (de) 1987-03-26 1988-10-06 Toshiba Kawasaki Kk Lichtempfindliche beschichtungsmasse
JPS63238133A (ja) 1987-03-26 1988-10-04 Japan Synthetic Rubber Co Ltd 耐熱性オレフイン系共重合体の製造方法
JPS63152130U (zh) 1987-03-27 1988-10-06
US4855199A (en) 1987-04-03 1989-08-08 General Electric Company Photopatterned product of silicone polyamic acid on a transparent substrate
US4782009A (en) 1987-04-03 1988-11-01 General Electric Company Method of coating and imaging photopatternable silicone polyamic acid
JPH048048Y2 (zh) 1987-04-30 1992-03-02
JPS63173382U (zh) 1987-05-01 1988-11-10
JPS63287823A (ja) 1987-05-20 1988-11-24 Sanyo Electric Co Ltd 液晶表示器
JPH0819285B2 (ja) 1987-05-21 1996-02-28 日本合成ゴム株式会社 熱可塑性エラストマ−組成物
JPS63298334A (ja) 1987-05-29 1988-12-06 Matsushita Electric Ind Co Ltd 下地反射防止材料
JPS63199251U (zh) 1987-06-05 1988-12-22
DE3719844A1 (de) 1987-06-13 1988-12-29 Basf Ag Durch photopolymersisation vernetzbares gemisch
JPS63312643A (ja) 1987-06-16 1988-12-21 Mitsubishi Electric Corp 半導体装置の製造方法
US4839274A (en) 1987-06-30 1989-06-13 Eastman Kodak Company Novel polymethine dyes and UV absorbers containing a triarylborylisocyano group and imaging compositions containing these dyes
EP0301641A1 (en) 1987-07-23 1989-02-01 Koninklijke Philips Electronics N.V. Master disc and method of manufacturing a matrix
JPS6428032U (zh) 1987-08-08 1989-02-17
US4973510A (en) 1987-09-02 1990-11-27 Teijin Limited Coated sheet material and process for producing same
US5024923A (en) 1987-09-09 1991-06-18 Fuji Photo Film Co., Ltd. Infrared absorbent compositions
DE3735852A1 (de) 1987-10-23 1989-05-03 Hoechst Ag Positiv arbeitendes lichtempfindliches gemisch, enthaltend einen farbstoff, und daraus hergestelltes positiv arbeitendes lichtempfindliches aufzeichnungsmaterial
AU611106B2 (en) 1987-10-24 1991-06-06 Ito Optical Industrial Co. Ltd. Optical antireflection treatment
US4925772A (en) 1987-11-26 1990-05-15 Siemens Aktiengesellschaft Anti-radiation covering for electronic components
GB8729510D0 (en) 1987-12-18 1988-02-03 Ucb Sa Photosensitive compositions containing phenolic resins & diazoquinone compounds
JPH0830947B2 (ja) 1987-12-18 1996-03-27 富士通株式会社 スクロール到達表示制御方式
US5272026A (en) 1987-12-18 1993-12-21 Ucb S.A. Negative image process utilizing photosensitive compositions containing aromatic fused polycyclic sulfonic acid and partial ester or phenolic resin with diazoquinone sulfonic acid or diazoquinone carboxylic acid, and associated imaged article
US4849296A (en) 1987-12-28 1989-07-18 Dow Corning Corporation Multilayer ceramic coatings from metal oxides and hydrogen silsesquioxane resin ceramified in ammonia
US4847162A (en) 1987-12-28 1989-07-11 Dow Corning Corporation Multilayer ceramics coatings from the ceramification of hydrogen silsequioxane resin in the presence of ammonia
JPH01185367A (ja) 1988-01-18 1989-07-24 Toshiba Silicone Co Ltd 表面処理されたポリメチルシルセスキオキサン粉末の製造方法
US4926383A (en) 1988-02-02 1990-05-15 National Semiconductor Corporation BiCMOS write-recovery circuit
US6040251A (en) 1988-03-14 2000-03-21 Nextec Applications Inc. Garments of barrier webs
US5194364A (en) 1988-03-16 1993-03-16 Fujitsu Limited Process for formation of resist patterns
JPH01261370A (ja) 1988-04-12 1989-10-18 Elna Co Ltd N−置換−4,4′−ビピリジル・7,7,8,8−テトラサクエンルイセイゾウホウホウシアノキノジメタン錯塩類およびその製造方法
US5391463A (en) 1988-04-14 1995-02-21 The United States Of America As Represented By The Secretary Of The Navy Surface modification to create regions resistant to adsorption of biomolecules
JP2603291B2 (ja) 1988-04-19 1997-04-23 東芝シリコーン株式会社 第4級アンモニウム基含有シリコーン樹脂微粉末
US4942083A (en) 1988-05-16 1990-07-17 Smith Novis W Jr Abrasion resistant coatings
EP0345219B1 (de) 1988-05-31 1994-02-02 Ciba-Geigy Ag Wässrige Dispersion von 2-(2'-Hydroxyphenyl-)benzotriazolen
US4921778A (en) 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
JPH0716212Y2 (ja) 1988-08-04 1995-04-12 富士通株式会社 紙葉類搬送キャリア
US4943511A (en) 1988-08-05 1990-07-24 Morton Thiokol, Inc. High sensitivity mid and deep UV resist
JPH0517312Y2 (zh) 1988-08-11 1993-05-10
JPH02145511A (ja) 1988-08-22 1990-06-05 A Baron Nevley 紫外線吸収眼用軟化剤
US5403680A (en) 1988-08-30 1995-04-04 Osaka Gas Company, Ltd. Photolithographic and electron beam lithographic fabrication of micron and submicron three-dimensional arrays of electronically conductive polymers
US5173368A (en) 1988-09-14 1992-12-22 Pilkington Visioncare Holdings, Inc. Solution-applied antireflective coatings
US4954414A (en) 1988-11-08 1990-09-04 The Mead Corporation Photosensitive composition containing a transition metal coordination complex cation and a borate anion and photosensitive materials employing the same
JPH0734069Y2 (ja) 1988-11-14 1995-08-02 東芝エレベータテクノス株式会社 エレベータにおけるかご又はつり合おもりの吊り装置
US5199979A (en) 1988-11-25 1993-04-06 Ppg Industries, Inc. UV resistant, abrasion resistant coatings
US4981530A (en) 1988-11-28 1991-01-01 International Business Machines Corporation Planarizing ladder-type silsesquioxane polymer insulation layer
US5455145A (en) 1988-12-24 1995-10-03 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing double layer resist pattern and double layer resist structure
US4940651A (en) 1988-12-30 1990-07-10 International Business Machines Corporation Method for patterning cationic curable photoresist
US6180317B1 (en) 1988-12-30 2001-01-30 International Business Machines Corporation Composition for photoimaging
US5300402A (en) 1988-12-30 1994-04-05 International Business Machines Corporation Composition for photo imaging
US5026624A (en) 1989-03-03 1991-06-25 International Business Machines Corporation Composition for photo imaging
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
US5747223A (en) 1988-12-30 1998-05-05 International Business Machines Corporation Composition for photoimaging
JPH0517214Y2 (zh) 1989-01-20 1993-05-10
US5278010A (en) 1989-03-03 1994-01-11 International Business Machines Corporation Composition for photo imaging
US6210862B1 (en) 1989-03-03 2001-04-03 International Business Machines Corporation Composition for photoimaging
US4885262A (en) 1989-03-08 1989-12-05 Intel Corporation Chemical modification of spin-on glass for improved performance in IC fabrication
EP0388343B1 (en) 1989-03-14 1996-07-17 International Business Machines Corporation Chemically amplified photoresist
US5137655A (en) 1989-05-16 1992-08-11 J. M. Huber Corporation High temperature endothermic blowing agents compositions and applications
US5317044A (en) 1989-05-16 1994-05-31 J. M. Huber Corporation Endothermic blowing agents for surface migration of components in foamed products, compositions and applications
US5250224A (en) 1989-05-16 1993-10-05 J. M. Huber Corporation Foamed products containing endothermic blowing agents and processes
US5302455A (en) 1989-05-16 1994-04-12 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5009809A (en) 1989-05-16 1991-04-23 J. M. Huber Corporation High temperature endothermic blowing agents compositions and applications
US5252618A (en) 1989-05-16 1993-10-12 J. M. Huber Corporation Endothermic blowing agents for strengthening weld lines in molded thermoplastic resins and products
US5106534A (en) 1989-05-16 1992-04-21 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5009810A (en) 1989-05-16 1991-04-23 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5128232A (en) 1989-05-22 1992-07-07 Shiply Company Inc. Photoresist composition with copolymer binder having a major proportion of phenolic units and a minor proportion of non-aromatic cyclic alcoholic units
US5013608A (en) 1989-07-07 1991-05-07 Swedlow, Inc. Highly tintable abrasion resistant coatings
US5102695A (en) 1989-07-07 1992-04-07 Swedlow, Inc. Highly tintable abrasion resistant coatings
US4999397A (en) 1989-07-28 1991-03-12 Dow Corning Corporation Metastable silane hydrolyzates and process for their preparation
US5045592A (en) 1989-07-28 1991-09-03 Dow Corning Corporation Metastable silane hydrolyzates
US5112728A (en) 1989-10-05 1992-05-12 Konica Corporation Silver halide photographic light-sensitive material
US5059512A (en) 1989-10-10 1991-10-22 International Business Machines Corporation Ultraviolet light sensitive photoinitiator compositions, use thereof and radiation sensitive compositions
US5212046A (en) 1989-10-17 1993-05-18 Shipley Company Inc. Near UV photoresist
EP0423446B1 (en) 1989-10-17 1998-03-04 Shipley Company Inc. Near UV photoresist
CA2027031A1 (en) 1989-10-18 1991-04-19 Loren A. Haluska Hermetic substrate coatings in an inert gas atmosphere
US5252340A (en) 1989-12-14 1993-10-12 Isolyser Company, Inc. Method of producing an absorbent composition
US4973526A (en) 1990-02-15 1990-11-27 Dow Corning Corporation Method of forming ceramic coatings and resulting articles
US5043789A (en) 1990-03-15 1991-08-27 International Business Machines Corporation Planarizing silsesquioxane copolymer coating
DE69120125T2 (de) 1990-03-28 1996-12-12 Japan Synthetic Rubber Co Ltd Polysiloxancompositpolymerpartikel
US5104692A (en) 1990-04-20 1992-04-14 Pilkington Visioncare Holdings, Inc. Two-layer antireflective coating applied in solution
US5055372A (en) 1990-04-23 1991-10-08 The Mead Corporation Photohardenable composition containing borate salts and ketone initiators
US6050871A (en) 1994-04-19 2000-04-18 Applied Elastomerics, Inc. Crystal gel airfoils with improved tear resistance and gel airfoils with profiles capable of exhibiting time delay recovery from deformation
US6552109B1 (en) 1994-04-19 2003-04-22 Applied Elastomerics, Inc. Gelatinous elastomer compositions and articles
US5868597A (en) 1990-05-21 1999-02-09 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic gel airfoils
US6333374B1 (en) 1990-05-21 2001-12-25 Applied Elastomerics, Inc. Fluffy, strong, solid elastic gels, articles and method of making same
US6117176A (en) 1993-11-15 2000-09-12 Applied Elastomerics, Inc. Elastic-crystal gel
US5884639A (en) 1996-03-08 1999-03-23 Applied Elastomerics, Inc. Crystal gels with improved properties
US6148830A (en) 1994-04-19 2000-11-21 Applied Elastomerics, Inc. Tear resistant, multiblock copolymer gels and articles
US5760117A (en) 1990-05-21 1998-06-02 Applied Elastomerics, Inc. Gelatinous composition and articles
US5938499A (en) 1993-11-15 1999-08-17 Applied Elastomerics, Inc. Elastic gel toy
US5962572A (en) 1994-04-19 1999-10-05 Applied Elastomerics, Inc. Oriented gel and oriented gel articles
EP0458651B1 (en) 1990-05-25 1994-03-09 Matsushita Electric Industrial Co., Ltd. Photosensitive materials comprising organic photoconductive substances in a binder polymer having aromatic rings, OH groups and bromine joined at the aromatic ring or rings
US5262201A (en) 1990-06-04 1993-11-16 Dow Corning Corporation Low temperature process for converting silica precursor coatings to ceramic silica coatings by exposure to ammonium hydroxide or an environment to which water vapor and ammonia vapor have been added
US5059448A (en) 1990-06-18 1991-10-22 Dow Corning Corporation Rapid thermal process for obtaining silica coatings
US5126289A (en) 1990-07-20 1992-06-30 At&T Bell Laboratories Semiconductor lithography methods using an arc of organic material
JP2517785B2 (ja) 1990-08-02 1996-07-24 信越化学工業株式会社 含浸性防水剤組成物
US5082758A (en) * 1990-08-31 1992-01-21 Xerox Corporation Toner and developer compositions with charge enhancing additives
JPH083893Y2 (ja) 1990-08-31 1996-01-31 株式会社トキメック フロン回収装置
US5302198A (en) 1990-09-14 1994-04-12 Ncr Corporation Coating solution for forming glassy layers
US5472488A (en) 1990-09-14 1995-12-05 Hyundai Electronics America Coating solution for forming glassy layers
US5527872A (en) 1990-09-14 1996-06-18 At&T Global Information Solutions Company Electronic device with a spin-on glass dielectric layer
US5100503A (en) * 1990-09-14 1992-03-31 Ncr Corporation Silica-based anti-reflective planarizing layer
US5152834A (en) 1990-09-14 1992-10-06 Ncr Corporation Spin-on glass composition
JP2999603B2 (ja) * 1990-09-14 2000-01-17 ヒュンダイ エレクトロニクス アメリカ スピンオングラス組成物、ハードマスクおよびハードマスク製造法
US5059500A (en) 1990-10-10 1991-10-22 Polaroid Corporation Process for forming a color filter
US5140396A (en) 1990-10-10 1992-08-18 Polaroid Corporation Filter and solid state imager incorporating this filter
ES2124697T3 (es) 1990-10-16 1999-02-16 Mitsui Chemicals Inc Utilizacion de una capa protectora de polvo altamente transmisora de la luz, procedimiento para su preparacion y elemento protector de polvo.
US5055376A (en) 1990-11-13 1991-10-08 Eastman Kodak Company Curable compositions containing onium salt photoinitiators which have a chromophore linked to the onium salt moiety through the 3-position and method of use
JP2712817B2 (ja) 1990-11-15 1998-02-16 信越化学工業株式会社 ポリオルガノシロキサン樹脂の製造方法
US5063267A (en) 1990-11-28 1991-11-05 Dow Corning Corporation Hydrogen silsesquioxane resin fractions and their use as coating materials
EP0490819B1 (de) 1990-12-13 1995-09-13 Ciba-Geigy Ag Wässrige Dispersion schwerlöslicher UV-Absorber
US5662109A (en) 1990-12-14 1997-09-02 Hutson; William H. Method and system for multi-dimensional imaging and analysis for early detection of diseased tissue
US5256510A (en) 1990-12-21 1993-10-26 Eastman Kodak Company Photoelectrographic imaging with near-infrared sensitizing dyes
US5219788A (en) 1991-02-25 1993-06-15 Ibm Corporation Bilayer metallization cap for photolithography
JPH0728511Y2 (ja) 1991-03-20 1995-06-28 新日本空調株式会社 可搬式クリーンブースにおける曲面多孔板吹出構造
US5520855A (en) 1991-03-20 1996-05-28 Kabushiki Kaisha Toshiba Coating solution composition for forming glass gel thin film, color glass gel filter, and display device using the same
US5648201A (en) 1991-04-25 1997-07-15 The United Sates Of America As Represented By The Secretary Of The Navy Efficient chemistry for selective modification and metallization of substrates
JPH05202483A (ja) 1991-04-25 1993-08-10 Shipley Co Inc 無電解金属化方法と組成物
US5166093A (en) 1991-07-31 1992-11-24 Micron Technology, Inc. Method to reduce the reflectivity of a semi-conductor metallic surface
JPH0717629Y2 (ja) 1991-08-20 1995-04-26 株式会社貴商 容器用台車
DE4132697A1 (de) 1991-10-01 1993-04-08 Wacker Chemie Gmbh Verfahren zur herstellung von organopolysiloxanharz
US5418136A (en) 1991-10-01 1995-05-23 Biostar, Inc. Devices for detection of an analyte based upon light interference
JPH0597478A (ja) 1991-10-04 1993-04-20 Nippon Sheet Glass Co Ltd 撥水性ガラス物品およびその製造方法
US5212218A (en) 1991-10-15 1993-05-18 A. B. Chance Company Hydrophobic, erodiable synthetic resin composition for electrical insulators
US6165697A (en) 1991-11-15 2000-12-26 Shipley Company, L.L.C. Antihalation compositions
JP3154007B2 (ja) 1991-11-15 2001-04-09 神奈川県 色識別装置
US6773864B1 (en) 1991-11-15 2004-08-10 Shipley Company, L.L.C. Antihalation compositions
US6472128B2 (en) 1996-04-30 2002-10-29 Shipley Company, L.L.C. Antihalation compositions
US6528235B2 (en) 1991-11-15 2003-03-04 Shipley Company, L.L.C. Antihalation compositions
US5518818A (en) 1992-02-05 1996-05-21 Toray Industries, Inc. Primer and multilayer coated article
JP2694097B2 (ja) 1992-03-03 1997-12-24 インターナショナル・ビジネス・マシーンズ・コーポレイション 反射防止コーティング組成物
US5457081A (en) 1992-05-15 1995-10-10 Dai Nippon Printing Co., Ltd. Thermal transfer image receiving sheet
JP2753921B2 (ja) 1992-06-04 1998-05-20 富士写真フイルム株式会社 ポジ型フオトレジスト組成物
JP3252446B2 (ja) 1992-06-25 2002-02-04 大同特殊鋼株式会社 熱間静水圧加圧成形用のカプセルおよび熱間静水圧加圧成形方法
US5576247A (en) 1992-07-31 1996-11-19 Matsushita Electric Industrial Co., Ltd. Thin layer forming method wherein hydrophobic molecular layers preventing a BPSG layer from absorbing moisture
JPH0656560A (ja) 1992-08-10 1994-03-01 Sony Corp Sog組成物及びそれを用いた半導体装置の製造方法
US5385804A (en) 1992-08-20 1995-01-31 International Business Machines Corporation Silicon containing negative resist for DUV, I-line or E-beam lithography comprising an aromatic azide side group in the polysilsesquioxane polymer
US6324703B1 (en) 1994-04-19 2001-12-04 Applied Elastomerics, Inc. Strong, soft, tear resistant insulating compositions and composites for extreme cold weather use
US6867253B1 (en) 1994-04-19 2005-03-15 Applied Elastomerics, Inc. Tear resistant, crystalline midblock copolymer gels and articles
US6420475B1 (en) 1994-04-19 2002-07-16 Applied Elastomerics, Inc. Tear resistant elastic crystal gels gel composites and their uses
US6794440B2 (en) 1994-04-19 2004-09-21 Applied Elastomerics, Inc. Tear resistant gelatinous elastomer compositions and articles for use as fishing bait
US6909220B2 (en) 1994-04-19 2005-06-21 Applied Elastomerics, Inc. High strain tear resistant gels and gel composites for use as artificial muscle actuators
US6627275B1 (en) 1994-04-19 2003-09-30 Applied Elastomerics, Incorporated Tear resistant elastic crystal gels suitable for inflatable restraint cushions and other uses
JPH06333803A (ja) 1992-09-18 1994-12-02 Sharp Corp 投影型露光装置用フィルター
WO1994006870A1 (en) 1992-09-24 1994-03-31 Kansai Paint Co., Ltd. Finish coating composition and method of forming its coating film
US5432007A (en) 1992-10-06 1995-07-11 Shizu Naito Solvent-free organosiloxane composition and its use
US5873931A (en) 1992-10-06 1999-02-23 Minnesota Mining And Manufacturing Company Coating composition having anti-reflective and anti-fogging properties
JPH06140396A (ja) 1992-10-23 1994-05-20 Yamaha Corp 半導体装置とその製法
US5384357A (en) 1992-11-02 1995-01-24 General Electric Company Infrared radiation curable organopolysiloxane compositions
US5395734A (en) 1992-11-30 1995-03-07 Minnesota Mining And Manufacturing Company Shoot and run printing materials
US5719249A (en) 1993-11-29 1998-02-17 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha Reactive silicon group-containing polyoxyalkylene-polysiloxane copolymer
DE4241727A1 (de) 1992-12-10 1994-06-16 Wacker Chemie Gmbh In Wasser selbstdispergierende, Organopolysiloxan anhaltende Zusammensetzungen
JP3059016B2 (ja) 1992-12-25 2000-07-04 富士写真フイルム株式会社 画像読取方法
US5449712A (en) 1993-01-13 1995-09-12 Thoro System Products, Inc. Organosilicon emulsions for rendering porous substrates water repellent
US5410150A (en) 1993-01-21 1995-04-25 A. J. Leisure Group Ltd. Fiber optic controller with an interface having an emitting diode and a photodetector
US5414069A (en) 1993-02-01 1995-05-09 Polaroid Corporation Electroluminescent polymers, processes for their use, and electroluminescent devices containing these polymers
US5302849A (en) 1993-03-01 1994-04-12 Motorola, Inc. Plastic and grid array semiconductor device and method for making the same
US5512418A (en) 1993-03-10 1996-04-30 E. I. Du Pont De Nemours And Company Infra-red sensitive aqueous wash-off photoimaging element
US5328975A (en) 1993-04-02 1994-07-12 Ppg Industries, Inc. Ultraviolet radiation absorbing coating
JP3007766B2 (ja) 1993-04-19 2000-02-07 松下精工株式会社 斜流ファン
JP3152544B2 (ja) 1993-06-24 2001-04-03 シャープ株式会社 スキャナ
FR2704766B1 (fr) 1993-05-06 1995-07-28 Salomon Sa Dispositif interface entre un ski et des éléments de fixation.
JP3045628B2 (ja) 1993-05-31 2000-05-29 キヤノン株式会社 液晶表示素子の製造方法
US5576359A (en) 1993-07-20 1996-11-19 Wako Pure Chemical Industries, Ltd. Deep ultraviolet absorbent composition
US5498748A (en) 1993-07-20 1996-03-12 Wako Pure Chemical Industries, Ltd. Anthracene derivatives
JP3200257B2 (ja) 1993-09-13 2001-08-20 キヤノン株式会社 画像復号装置
DE4331162A1 (de) 1993-09-14 1995-03-16 Bayer Ag Verfahren zur Herstellung von Cyaninfarbstoffen
US5467626A (en) 1993-10-01 1995-11-21 The Boeing Company Integral forming die system for superplastic metal forming
US5382615A (en) 1993-10-01 1995-01-17 Eastman Chemical Company Modified polyethylene based hot-melt adhesives for use in packaging
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
DE4338360A1 (de) 1993-11-10 1995-05-11 Inst Neue Mat Gemein Gmbh Verfahren zur Herstellung von funktionellen glasartigen Schichten
JP3197135B2 (ja) 1994-02-02 2001-08-13 ポップリベット・ファスナー株式会社 スタッド固定具
US5840821A (en) 1994-03-11 1998-11-24 Kawasaki Steel Corporation Coating solution and method for preparing the coating solution, method for forming insulating films for semiconductor devices, and method for evaluating the coating solution
US5460911A (en) * 1994-03-14 1995-10-24 Xerox Corporation Electrophotographic imaging member free of reflection interference
JP3026716B2 (ja) 1994-03-16 2000-03-27 株式会社日立製作所 立体型表示装置
DE69511141T2 (de) 1994-03-28 2000-04-20 Wako Pure Chem Ind Ltd Resistzusammensetzung für tiefe Ultraviolettbelichtung
US6161555A (en) 1994-04-19 2000-12-19 Applied Elastomerics, Inc. Crystal gels useful as dental floss with improved high tear, high tensile, and resistance to high stress rupture properties
JP2791397B2 (ja) 1994-05-19 1998-08-27 東亞合成株式会社 新規な有機ハロシラン化合物
JP3301215B2 (ja) 1994-05-31 2002-07-15 ソニー株式会社 ハーフトーン型位相シフトマスク、ハーフトーン型位相シフトマスクの作製に用いる半透明部形成材料、及びハーフトーン型位相シフトマスクの作製方法
US5759625A (en) 1994-06-03 1998-06-02 E. I. Du Pont De Nemours And Company Fluoropolymer protectant layer for high temperature superconductor film and photo-definition thereof
US5494858A (en) 1994-06-07 1996-02-27 Texas Instruments Incorporated Method for forming porous composites as a low dielectric constant layer with varying porosity distribution electronics applications
US5468591A (en) 1994-06-14 1995-11-21 Eastman Kodak Company Barrier layer for laser ablative imaging
JP3209476B2 (ja) 1994-06-17 2001-09-17 フジコピアン株式会社 ファブリックインクリボン
EP0766733A4 (en) 1994-06-24 1998-06-10 Boehringer Mannheim Corp GET A CLEAN MIX OF COLLAGENASE AND TWO OTHER PROTEASES FROM CLOSTRIDIUM HISTOLYTICUM
FR2721720B1 (fr) 1994-06-27 1996-09-06 Essilor Int Lentille ophtalmique en verre organique à intercouche anti-chocs et son procédé de fabrication.
US20020034630A1 (en) 1994-06-27 2002-03-21 Jean-Paul Cano Ophthalmic lens made of organic glass with a shockproof intermediate layer, and method for making same
US5705116A (en) 1994-06-27 1998-01-06 Sitzmann; Eugene Valentine Increasing the useful range of cationic photoinitiators in stereolithography
AU3460095A (en) 1994-06-30 1996-01-25 Hitachi Chemical Company, Ltd. Material for forming silica-base coated insulation film, process for producing the material, silica-base insulation film, semiconductor device, and process for producing the device
US5910021A (en) 1994-07-04 1999-06-08 Yamaha Corporation Manufacture of semiconductor device with fine pattens
US5729563A (en) 1994-07-07 1998-03-17 Hewlett-Packard Company Method and apparatus for optically and thermally isolating surface emitting laser diodes
US5976666A (en) 1994-08-29 1999-11-02 Sri International Electromagnetic radiation absorbing devices and associated methods of manufacture and use
US5498468A (en) 1994-09-23 1996-03-12 Kimberly-Clark Corporation Fabrics composed of ribbon-like fibrous material and method to make the same
US5527562A (en) 1994-10-21 1996-06-18 Aluminum Company Of America Siloxane coatings for aluminum reflectors
US5449639A (en) 1994-10-24 1995-09-12 Taiwan Semiconductor Manufacturing Company Ltd. Disposable metal anti-reflection coating process used together with metal dry/wet etch
KR0129950B1 (ko) 1994-11-30 1998-04-03 김광호 반사방지막 조성물
FR2729307B1 (fr) 1995-01-18 1997-04-18 Seppic Sa Utilisation d'esters d'acides gras ethoxyles comme composants auto-emulsionnables notamment utiles pour la preparation de produits de traitement phytosanitaires ou de medicaments a usage veterinaire ou humain
US5964917A (en) 1995-01-31 1999-10-12 Latting; John Alvis Free-flowing fertilizer compositions
US5679128A (en) 1995-01-31 1997-10-21 Latting; John Alvis Dry-bonded nonionic adjuvants
JP3014456U (ja) 1995-02-07 1995-08-08 朋雄 安嶋 剥離して支払伝票にできる預金証書
EP0727711A3 (en) 1995-02-17 1997-04-09 Ocg Microelectronic Materials Photoresist compositions containing supercritical fluid fractionated polymeric resin binders
JP3436435B2 (ja) 1995-02-22 2003-08-11 東レ・ダウコーニング・シリコーン株式会社 紫外線硬化型シリコーン組成物の硬化方法
JPH08240450A (ja) 1995-03-03 1996-09-17 Japan Aviation Electron Ind Ltd 行動パターン取得装置及び運動量解析装置
US5580819A (en) 1995-03-22 1996-12-03 Ppg Industries, Inc. Coating composition, process for producing antireflective coatings, and coated articles
US5935758A (en) 1995-04-20 1999-08-10 Imation Corp. Laser induced film transfer system
US5945249A (en) 1995-04-20 1999-08-31 Imation Corp. Laser absorbable photobleachable compositions
GB9508031D0 (en) 1995-04-20 1995-06-07 Minnesota Mining & Mfg UV-absorbing media bleachable by IR-radiation
GB9617416D0 (en) 1996-08-20 1996-10-02 Minnesota Mining & Mfg Thermal bleaching of infrared dyes
US5747553A (en) 1995-04-26 1998-05-05 Reinforced Polymer Inc. Low pressure acrylic molding composition with fiber reinforcement
US6103779A (en) 1995-04-26 2000-08-15 Reinforced Polmers, Inc. Method of preparing molding compositions with fiber reinforcement and products obtained therefrom
US6150250A (en) 1995-07-05 2000-11-21 Yamaha Corporation Conductive layer forming method using etching mask with direction <200>
JP3512911B2 (ja) 1995-07-11 2004-03-31 富士写真フイルム株式会社 紫外線吸収剤前駆体化合物、それを含有する感光性樹脂組成物及び画像形成方法
TW404974B (en) 1995-07-19 2000-09-11 Kansai Paint Co Ltd Solidifiable coating composite
JP3824334B2 (ja) 1995-08-07 2006-09-20 東京応化工業株式会社 シリカ系被膜形成用塗布液及び被膜形成方法
US5693691A (en) 1995-08-21 1997-12-02 Brewer Science, Inc. Thermosetting anti-reflective coatings compositions
US5583195A (en) 1995-09-29 1996-12-10 General Electric Company Photocurable epoxy silicones functionalized with fluorescent or photosensitizing marker dyes
JPH09120157A (ja) 1995-10-25 1997-05-06 Fuji Photo Film Co Ltd 湿し水不要感光性平版印刷版
US5663286A (en) 1995-11-09 1997-09-02 H.B. Fuller Licensing And Financing, Inc. Nonwoven web comprising water soluble polyamides and articles constructed therefrom
US5672243A (en) 1995-11-28 1997-09-30 Mosel Vitelic, Inc. Antireflection coating for highly reflective photolithographic layers comprising chromium oxide or chromium suboxide
TW376408B (en) 1995-12-01 1999-12-11 Nissan Chemical Ind Ltd Coating film having water repellency and low refractive index
JP3930591B2 (ja) 1995-12-22 2007-06-13 東陶機器株式会社 光触媒性親水性コーティング組成物、親水性被膜の形成方法および被覆物品
US5629437A (en) 1996-01-30 1997-05-13 Huls America Inc. Preparation and use of alkyleneoxysilane compositions
US5949518A (en) 1996-02-13 1999-09-07 Sola International, Inc. Color-neutral UV blocking coating for plastic lens
US5756257A (en) 1996-02-14 1998-05-26 Imation Corp. Color proofing article incorporating novel antihalation dye
JP3436843B2 (ja) 1996-04-25 2003-08-18 東京応化工業株式会社 リソグラフィー用下地材及びそれを用いたリソグラフィー用レジスト材料
US6291586B2 (en) 1996-05-03 2001-09-18 3M Innovative Properties Company Amide functional ultraviolet light absorbers for polyurethanes and polyureas
US5994431A (en) 1996-05-03 1999-11-30 3M Innovative Properties Company Amide functional ultraviolet light absorbers for polyolefins
JP3031325U (ja) 1996-05-16 1996-11-22 河口湖精密株式会社 初心運転者標識
JPH09306954A (ja) 1996-05-20 1997-11-28 Hitachi Ltd 半導体装置及びその実装方法並びに実装構造体
TW354392B (en) 1996-07-03 1999-03-11 Du Pont Photomask blanks
TW515926B (en) 1996-07-10 2003-01-01 Matsushita Electric Ind Co Ltd Liquid crystal alignment film and method for producing the same, and liquid crystal display apparatus using the same and method for producing the same
JP3222386B2 (ja) 1996-07-12 2001-10-29 信越化学工業株式会社 コーティング剤組成物及びそのコーティング組成物で処理してなる物品
US6040053A (en) 1996-07-19 2000-03-21 Minnesota Mining And Manufacturing Company Coating composition having anti-reflective and anti-fogging properties
JPH1060280A (ja) 1996-08-14 1998-03-03 Japan Synthetic Rubber Co Ltd 水系分散体
US6072018A (en) 1996-09-30 2000-06-06 Virginia Tech Intellectual Properties, Inc. High abrasion resistant coating material
EP0917550A4 (en) 1996-10-25 1999-12-29 Blue River International L L C COMPOSITIONS FOR SILICON COATINGS AND USES THEREOF
EP0844283B1 (en) 1996-11-20 2002-10-09 JSR Corporation Curable resin composition and cured products
JPH10161315A (ja) 1996-12-05 1998-06-19 Nippon Steel Chem Co Ltd アルカリ可溶性感光性樹脂組成物
US5695551A (en) 1996-12-09 1997-12-09 Dow Corning Corporation Water repellent composition
JP3207774B2 (ja) 1996-12-11 2001-09-10 双福鋼器株式会社 入出庫指示装置
US6025077A (en) 1996-12-13 2000-02-15 Matsushita Electric Works, Ltd. Silicone emulsion coating composition and processes for the preparation thereof
DE69707635T2 (de) 1996-12-24 2002-08-08 Fuji Photo Film Co Ltd Zusammensetzung für Antireflexunterschichten und Verfahren zur Herstellung eines Resistmusters damit
US5939236A (en) 1997-02-07 1999-08-17 Shipley Company, L.L.C. Antireflective coating compositions comprising photoacid generators
US6174631B1 (en) 1997-02-10 2001-01-16 E. I. Du Pont De Nemours And Company Attenuating phase shift photomasks
US6491840B1 (en) 2000-02-14 2002-12-10 The Procter & Gamble Company Polymer compositions having specified PH for improved dispensing and improved stability of wrinkle reducing compositions and methods of use
DE19710461A1 (de) 1997-03-13 1998-09-17 Wacker Chemie Gmbh Farbstoffreste aufweisende Organopolysiloxane
JP3047883U (ja) 1997-04-08 1998-04-28 日本ジャバラ工業株式会社 非等ピッチ伸張、縮小式組み合わせ式パンタグラフ。
DE69808462T2 (de) 1997-05-13 2003-06-26 Kirin Brewery Beschichtungszusammensetzung für eine glasartige beschichtung, verfahren zu herstellung einer beschichtung und vorrichtung zum auftrag einer beschichtung
TW473653B (en) 1997-05-27 2002-01-21 Clariant Japan Kk Composition for anti-reflective film or photo absorption film and compound used therein
JPH113888A (ja) 1997-05-28 1999-01-06 Texas Instr Inc <Ti> 集積回路誘電体及び方法
US5883011A (en) 1997-06-18 1999-03-16 Vlsi Technology, Inc. Method of removing an inorganic antireflective coating from a semiconductor substrate
JP4012600B2 (ja) 1997-06-23 2007-11-21 富士通株式会社 酸感応性重合体、レジスト組成物、レジストパターン形成方法、および半導体装置の製造方法
JP3473887B2 (ja) 1997-07-16 2003-12-08 東京応化工業株式会社 反射防止膜形成用組成物及びそれを用いたレジストパターンの形成方法
US5962067A (en) 1997-09-09 1999-10-05 Lucent Technologies Inc. Method for coating an article with a ladder siloxane polymer and coated article
JP4053631B2 (ja) 1997-10-08 2008-02-27 Azエレクトロニックマテリアルズ株式会社 反射防止膜又は光吸収膜用組成物及びこれに用いる重合体
JPH11214658A (ja) 1997-10-23 1999-08-06 Texas Instr Inc <Ti> 集積回路誘電体の製法
US6025232A (en) 1997-11-12 2000-02-15 Micron Technology, Inc. Methods of forming field effect transistors and related field effect transistor constructions
US6057239A (en) 1997-12-17 2000-05-02 Advanced Micro Devices, Inc. Dual damascene process using sacrificial spin-on materials
US6190839B1 (en) 1998-01-15 2001-02-20 Shipley Company, L.L.C. High conformality antireflective coating compositions
DE59802587D1 (de) 1998-01-22 2002-01-31 Kettenbach Gmbh & Co Kg Unterfütterung für prothesen und verfahren zur herstellung
US6190955B1 (en) 1998-01-27 2001-02-20 International Business Machines Corporation Fabrication of trench capacitors using disposable hard mask
WO1999039829A1 (en) 1998-02-04 1999-08-12 Merck & Co., Inc. Virtual wells for use in high throughput screening assays
EP0934773B1 (en) 1998-02-06 2004-02-04 Seiwa Kasei Co., Ltd. Microcapsule having a specific wall and method for producing the same
US7022821B1 (en) 1998-02-20 2006-04-04 O'brien Timothy J Antibody kit for the detection of TADG-15 protein
US5972616A (en) 1998-02-20 1999-10-26 The Board Of Trustees Of The University Of Arkansas TADG-15: an extracellular serine protease overexpressed in breast and ovarian carcinomas
US6503586B1 (en) 1998-02-25 2003-01-07 Arteva North America S.A.R.L. Title improved infrared absorbing polyester packaging polymer
US6962727B2 (en) 1998-03-20 2005-11-08 Honeywell International Inc. Organosiloxanes
US5985444A (en) 1998-04-03 1999-11-16 3M Innovative Properties Company Amide functional ultraviolet light absorbers for fluoropolymers
US6344284B1 (en) 1998-04-10 2002-02-05 Organic Display Technology Organic electroluminescent materials and devices made from such materials
US5986344A (en) 1998-04-14 1999-11-16 Advanced Micro Devices, Inc. Anti-reflective coating layer for semiconductor device
DE19817069A1 (de) 1998-04-17 1999-10-21 Clariant Gmbh Infrarotstrahlung reflektierende Farbmittel
EP1090332A4 (en) 1998-04-29 2001-08-29 Brewer Science Inc FAST-ENGRAVED THERMOSETTING ANTI-REFLECTIVE COATINGS, OBTAINED FROM CELLULOSIC BINDERS
US6576408B2 (en) 1998-04-29 2003-06-10 Brewer Science, Inc. Thermosetting anti-reflective coatings comprising aryl urethanes of hydroxypropyl cellulose
US6461970B1 (en) 1998-06-10 2002-10-08 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
US6151430A (en) 1998-07-08 2000-11-21 Gore Enterprise Holdings, Inc. Photonic device having an integal guide and method of manufacturing
US6277750B1 (en) 1998-07-10 2001-08-21 Clariant Finance (Bvi) Limited Composition for bottom reflection preventive film and novel polymeric dye for use in the same
US6444584B1 (en) 1998-07-16 2002-09-03 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming composite silicon/dielectric/silicon stack layer
US6103456A (en) 1998-07-22 2000-08-15 Siemens Aktiengesellschaft Prevention of photoresist poisoning from dielectric antireflective coating in semiconductor fabrication
DE19834745A1 (de) 1998-08-01 2000-02-03 Agfa Gevaert Ag Strahlungsempfindliches Gemisch mit IR-absorbierenden, anionischen Cyaninfarbstoffen und damit hergestelltes Aufzeichnungsmaterial
US6149934A (en) 1999-04-23 2000-11-21 Kimberly-Clark Worldwide, Inc. Absorbent article having a lotionized bodyside liner
US6448464B1 (en) 1999-07-30 2002-09-10 Kimberly-Clark Worldwide, Inc. Absorbent article which maintains skin temperature when wet
US6238379B1 (en) 1998-08-25 2001-05-29 Kimberly-Clark Worldwide, Inc. Absorbent article with increased wet breathability
US6217890B1 (en) 1998-08-25 2001-04-17 Susan Carol Paul Absorbent article which maintains or improves skin health
US6152906A (en) 1998-08-25 2000-11-28 Kimberly-Clark Worldwide, Inc. Absorbent article having improved breathability
US6287286B1 (en) 1998-08-25 2001-09-11 Kimberly-Clark Worldwide, Inc. Absorbent article having a reduced viability of candida albicans
US6497893B1 (en) 1999-06-30 2002-12-24 Kimberly-Clark Worldwide, Inc. Silk protein treatment composition and treated substrate for transfer to skin
US6280911B1 (en) * 1998-09-10 2001-08-28 Shipley Company, L.L.C. Photoresist compositions comprising blends of ionic and non-ionic photoacid generators
US20020102483A1 (en) 1998-09-15 2002-08-01 Timothy Adams Antireflective coating compositions
JP3523081B2 (ja) 1998-09-21 2004-04-26 信越化学工業株式会社 有機珪素樹脂の製造方法及び該製造方法で得られた有機珪素樹脂を用いたポリウレタンフォームの製造方法
JP3852889B2 (ja) 1998-09-24 2006-12-06 富士写真フイルム株式会社 フォトレジスト用反射防止膜材料組成物
US6190830B1 (en) 1998-09-29 2001-02-20 Kodak Polychrome Graphics Llc Processless direct write printing plate having heat sensitive crosslinked vinyl polymer with organoonium group and methods of imaging and printing
US6673982B1 (en) 1998-10-02 2004-01-06 Kimberly-Clark Worldwide, Inc. Absorbent article with center fill performance
US6562192B1 (en) 1998-10-02 2003-05-13 Kimberly-Clark Worldwide, Inc. Absorbent articles with absorbent free-flowing particles and methods for producing the same
US6667424B1 (en) 1998-10-02 2003-12-23 Kimberly-Clark Worldwide, Inc. Absorbent articles with nits and free-flowing particles
US6503233B1 (en) 1998-10-02 2003-01-07 Kimberly-Clark Worldwide, Inc. Absorbent article having good body fit under dynamic conditions
JP3702108B2 (ja) 1998-10-07 2005-10-05 株式会社東芝 レジストパターン形成方法
JP2000129073A (ja) 1998-10-26 2000-05-09 Toyo Ink Mfg Co Ltd 常温硬化性樹脂組成物および該樹脂組成物を塗工した基材
US6251973B1 (en) 1998-11-23 2001-06-26 Akzo Nobel N.V. Coatings and coating compositions of a reactive group-containing polymer, a hydrazide and a silane
KR100699712B1 (ko) 1998-11-24 2007-03-27 다우 글로벌 테크놀로지스 인크. 가교결합성 매트릭스 전구체와 포라겐을 함유하는 조성물및 이로부터 제조된 다공성 매트릭스
US5981675A (en) 1998-12-07 1999-11-09 Bausch & Lomb Incorporated Silicone-containing macromonomers and low water materials
US6326231B1 (en) 1998-12-08 2001-12-04 Advanced Micro Devices, Inc. Use of silicon oxynitride ARC for metal layers
US6235456B1 (en) 1998-12-09 2001-05-22 Advanced Micros Devices, Inc. Graded anti-reflective barrier films for ultra-fine lithography
US6342249B1 (en) 1998-12-23 2002-01-29 Alza Corporation Controlled release liquid active agent formulation dosage forms
US6635281B2 (en) 1998-12-23 2003-10-21 Alza Corporation Gastric retaining oral liquid dosage form
KR100363695B1 (ko) 1998-12-31 2003-04-11 주식회사 하이닉스반도체 유기난반사방지중합체및그의제조방법
US6210856B1 (en) 1999-01-27 2001-04-03 International Business Machines Corporation Resist composition and process of forming a patterned resist layer on a substrate
US6544717B2 (en) 1999-01-28 2003-04-08 Tokyo Ohka Kogyo Co., Ltd. Undercoating composition for photolithographic resist
TW476865B (en) 1999-01-28 2002-02-21 Tokyo Ohka Kogyo Co Ltd Undercoating composition for photolithographic resist
US6187505B1 (en) 1999-02-02 2001-02-13 International Business Machines Corporation Radiation sensitive silicon-containing resists
KR100644847B1 (ko) 1999-02-26 2006-11-13 쇼와 덴코 가부시키가이샤 칼라필터용 광중합 개시제, 감광성 착색 조성물 및 칼라필터
US6316165B1 (en) 1999-03-08 2001-11-13 Shipley Company, L.L.C. Planarizing antireflective coating compositions
US6849923B2 (en) 1999-03-12 2005-02-01 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method of the same
JP4270632B2 (ja) 1999-03-12 2009-06-03 株式会社東芝 ドライエッチングを用いた半導体装置の製造方法
US6426125B1 (en) 1999-03-17 2002-07-30 General Electric Company Multilayer article and method of making by ARC plasma deposition
US6313257B1 (en) * 1999-03-23 2001-11-06 Lord Corporation Poly (mercaptopropylaryl) curatives
US6409883B1 (en) 1999-04-16 2002-06-25 Kimberly-Clark Worldwide, Inc. Methods of making fiber bundles and fibrous structures
JP3064753U (ja) 1999-05-18 2000-01-21 竹沢産業株式会社 送風機
JP3064337U (ja) 1999-05-27 2000-01-14 株式会社日本能率協会マネジメントセンター 家計ノ―ト
US6268457B1 (en) * 1999-06-10 2001-07-31 Allied Signal, Inc. Spin-on glass anti-reflective coatings for photolithography
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
WO2000077575A1 (en) 1999-06-10 2000-12-21 Alliedsignal Inc. Spin-on-glass anti-reflective coatings for photolithography
US6890448B2 (en) 1999-06-11 2005-05-10 Shipley Company, L.L.C. Antireflective hard mask compositions
US6329118B1 (en) 1999-06-21 2001-12-11 Intel Corporation Method for patterning dual damascene interconnects using a sacrificial light absorbing material
CA2380403C (en) 1999-07-30 2009-12-08 Ppg Industries Ohio, Inc. Cured coatings having improved scratch resistance, coated substrates and methods related thereto
US6623791B2 (en) 1999-07-30 2003-09-23 Ppg Industries Ohio, Inc. Coating compositions having improved adhesion, coated substrates and methods related thereto
US6475892B1 (en) 1999-08-02 2002-11-05 Aadvanced Micro Devices, Inc. Simplified method of patterning polysilicon gate in a semiconductor device
US6107167A (en) 1999-08-02 2000-08-22 Advanced Micro Devices, Inc. Simplified method of patterning polysilicon gate in a semiconductor device
US6335235B1 (en) 1999-08-17 2002-01-01 Advanced Micro Devices, Inc. Simplified method of patterning field dielectric regions in a semiconductor device
AR025300A1 (es) 1999-08-23 2002-11-20 Kimberly Clark Co Un articulo absorbente descartable con capacidad para respirar en humedo incrementada.
AR027842A1 (es) 1999-08-23 2003-04-16 Kimberly Clark Co Un articulo absorbente el cual mantiene o mejora la salud de la piel
JP2001079491A (ja) 1999-09-10 2001-03-27 Koito Mfg Co Ltd 塗膜形成方法及び該方法で形成された車両用灯具
WO2001020641A1 (en) 1999-09-13 2001-03-22 Koninklijke Philips Electronics N.V. Electric lamp
JP4248098B2 (ja) 1999-09-20 2009-04-02 東京応化工業株式会社 反射防止膜形成用組成物及びレジストパターンの形成方法
US6315946B1 (en) 1999-10-21 2001-11-13 The United States Of America As Represented By The Secretary Of The Navy Ultra low carbon bainitic weathering steel
US6541107B1 (en) 1999-10-25 2003-04-01 Dow Corning Corporation Nanoporous silicone resins having low dielectric constants
US6359096B1 (en) 1999-10-25 2002-03-19 Dow Corning Corporation Silicone resin compositions having good solution solubility and stability
US6313045B1 (en) 1999-12-13 2001-11-06 Dow Corning Corporation Nanoporous silicone resins having low dielectric constants and method for preparation
US6232424B1 (en) 1999-12-13 2001-05-15 Dow Corning Corporation Soluble silicone resin compositions having good solution stability
US6403464B1 (en) 1999-11-03 2002-06-11 Taiwan Semiconductor Manufacturing Company Method to reduce the moisture content in an organic low dielectric constant material
US6391524B2 (en) 1999-11-19 2002-05-21 Kodak Polychrome Graphics Llc Article having imagable coatings
TW468053B (en) 1999-12-14 2001-12-11 Nissan Chemical Ind Ltd Antireflection film, process for forming the antireflection film, and antireflection glass
JP4195773B2 (ja) 2000-04-10 2008-12-10 Jsr株式会社 層間絶縁膜形成用組成物、層間絶縁膜の形成方法およびシリカ系層間絶縁膜
US6902771B2 (en) 2000-02-01 2005-06-07 Jsr Corporation Process for producing silica-based film, silica-based film, insulating film, and semiconductor device
US6306736B1 (en) 2000-02-04 2001-10-23 The Regents Of The University Of California Process for forming shaped group III-V semiconductor nanocrystals, and product formed using process
AU774200B2 (en) 2000-02-08 2004-06-17 Adsil, Lc Method for improving heat efficiency using silane coatings and coated articles produced thereby
EP1255806B1 (en) 2000-02-14 2006-09-27 The Procter & Gamble Company Stable, aqueous compositions for treating surfaces, especially fabrics
KR100610406B1 (ko) 2000-02-22 2006-08-09 브레우어 사이언스 인코포레이션 화학적 증착에 의해 증착된 유기 중합체 반사 방지 코팅
JP2001240800A (ja) 2000-02-25 2001-09-04 Nippon Sheet Glass Co Ltd 所定表面形状を有する物品の製造方法
NZ520879A (en) 2000-02-28 2004-08-27 Adsil Lc Silane-based, coating compositions, coated articles obtained therefrom and methods of using same
US6413647B1 (en) 2000-02-28 2002-07-02 Jsr Corporation Composition for film formation, method of film formation, and silica-based film
US6451420B1 (en) 2000-03-17 2002-09-17 Nanofilm, Ltd. Organic-inorganic hybrid polymer and method of making same
JP3604007B2 (ja) 2000-03-29 2004-12-22 富士通株式会社 低誘電率被膜形成材料、及びそれを用いた被膜と半導体装置の製造方法
EP1278799A1 (en) 2000-03-30 2003-01-29 General Electric Company Transparent, flame retardant poly(arylene ether) blends
JP3795333B2 (ja) 2000-03-30 2006-07-12 東京応化工業株式会社 反射防止膜形成用組成物
US6268294B1 (en) 2000-04-04 2001-07-31 Taiwan Semiconductor Manufacturing Company Method of protecting a low-K dielectric material
US6593388B2 (en) 2000-04-04 2003-07-15 Renssealer Polytechnic Institute Oligomeric and polymeric photosensitizers comprising a polynuclear aromatic group
US7128976B2 (en) * 2000-04-10 2006-10-31 Jsr Corporation Composition for film formation, method of film formation, and silica-based film
DE60118052T2 (de) 2000-04-13 2006-10-26 Jsr Corp. Überzugsmittel, Verfahren zur Herstellung, gehärtetes Produkt und Beschichtungsfilm
US6374738B1 (en) 2000-05-03 2002-04-23 Presstek, Inc. Lithographic imaging with non-ablative wet printing members
JP3846545B2 (ja) 2000-06-08 2006-11-15 信越化学工業株式会社 コーティング剤組成物、コーティング方法及び被覆物品
US6632535B1 (en) 2000-06-08 2003-10-14 Q2100, Inc. Method of forming antireflective coatings
US6852766B1 (en) 2000-06-15 2005-02-08 3M Innovative Properties Company Multiphoton photosensitization system
US6420088B1 (en) 2000-06-23 2002-07-16 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer
US6323268B1 (en) 2000-06-27 2001-11-27 Dow Corning Corporation Organosilicon water repellent compositions
US6891237B1 (en) 2000-06-27 2005-05-10 Lucent Technologies Inc. Organic semiconductor device having an active dielectric layer comprising silsesquioxanes
JP2002023350A (ja) 2000-07-07 2002-01-23 Fuji Photo Film Co Ltd ネガ型平版印刷版原版
US6271273B1 (en) 2000-07-14 2001-08-07 Shipley Company, L.L.C. Porous materials
US6368400B1 (en) * 2000-07-17 2002-04-09 Honeywell International Absorbing compounds for spin-on-glass anti-reflective coatings for photolithography
TW556047B (en) 2000-07-31 2003-10-01 Shipley Co Llc Coated substrate, method for forming photoresist relief image, and antireflective composition
US6635341B1 (en) 2000-07-31 2003-10-21 Ppg Industries Ohio, Inc. Coating compositions comprising silyl blocked components, coating, coated substrates and methods related thereto
EP1305320B1 (en) * 2000-08-03 2006-05-31 Ciba SC Holding AG Photostable, silylated benzotriazole uv absorbers and compositions stabilized therewith
AU2001288306A1 (en) 2000-08-18 2002-03-04 The Procter And Gamble Company Compositions and methods for odor and fungal control in ballistic fabric and other protective garments
KR100795714B1 (ko) 2000-08-21 2008-01-21 다우 글로벌 테크놀로지스 인크. 마이크로일렉트로닉 장치의 제조에 있어서 유기 중합체유전체용 하드마스크로서의 유기 규산염 수지
US6645685B2 (en) 2000-09-06 2003-11-11 Mitsubishi Paper Mills Limited Process for producing printed wiring board
JP2004509468A (ja) 2000-09-13 2004-03-25 シップレーカンパニー エル エル シー 電子デバイスの製造
JP3993373B2 (ja) 2000-09-14 2007-10-17 信越化学工業株式会社 ポリオルガノシロキサン化合物及びそれを含有するコーティング組成物
KR100382702B1 (ko) 2000-09-18 2003-05-09 주식회사 엘지화학 유기실리케이트 중합체의 제조방법
US6505362B1 (en) 2000-09-25 2003-01-14 Thomas Scipio Method and system for cushioning a mobile prone person
JP3772077B2 (ja) 2000-09-27 2006-05-10 株式会社東芝 パターン形成方法
US6465358B1 (en) 2000-10-06 2002-10-15 Intel Corporation Post etch clean sequence for making a semiconductor device
US6884568B2 (en) 2000-10-17 2005-04-26 Kodak Polychrome Graphics, Llc Stabilized infrared-sensitive polymerizable systems
US6864040B2 (en) 2001-04-11 2005-03-08 Kodak Polychrome Graphics Llc Thermal initiator system using leuco dyes and polyhalogene compounds
US6503526B1 (en) 2000-10-20 2003-01-07 Kimberly-Clark Worldwide, Inc. Absorbent articles enhancing skin barrier function
US6756520B1 (en) 2000-10-20 2004-06-29 Kimberly-Clark Worldwide, Inc. Hydrophilic compositions for use on absorbent articles to enhance skin barrier
US6455416B1 (en) 2000-10-24 2002-09-24 Advanced Micro Devices, Inc. Developer soluble dyed BARC for dual damascene process
US6699647B2 (en) 2000-12-21 2004-03-02 Eastman Kodak Company High speed photothermographic materials containing tellurium compounds and methods of using same
US6749860B2 (en) 2000-12-22 2004-06-15 Kimberly-Clark Worldwide, Inc. Absorbent articles with non-aqueous compositions containing botanicals
US20020128615A1 (en) 2000-12-22 2002-09-12 Tyrrell David John Absorbent articles with non-aqueous compositions containing anionic polymers
US6832064B2 (en) 2000-12-29 2004-12-14 Samsung Electronics Co., Ltd. Seamless drying belt for electrophotographic process
NZ526871A (en) 2001-01-25 2006-01-27 Bristol Myers Squibb Co Pharmaceutical dosage forms of epothilones for oral administration
DE10103421A1 (de) * 2001-01-26 2002-08-14 Ge Bayer Silicones Gmbh & Co Polyorganosiloxan-Zusammensetzung
US7026053B2 (en) 2001-01-29 2006-04-11 Jsr Corporation Process for producing silica-based film, silica-based film, insulating film, and semiconductor device
US6465889B1 (en) 2001-02-07 2002-10-15 Advanced Micro Devices, Inc. Silicon carbide barc in dual damascene processing
US6808381B2 (en) 2001-02-20 2004-10-26 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller
US6752613B2 (en) 2001-02-20 2004-06-22 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller for initiation of lens curing
US6790024B2 (en) 2001-02-20 2004-09-14 Q2100, Inc. Apparatus for preparing an eyeglass lens having multiple conveyor systems
US6726463B2 (en) 2001-02-20 2004-04-27 Q2100, Inc. Apparatus for preparing an eyeglass lens having a dual computer system controller
US6840752B2 (en) 2001-02-20 2005-01-11 Q2100, Inc. Apparatus for preparing multiple eyeglass lenses
US6875005B2 (en) 2001-02-20 2005-04-05 Q1200, Inc. Apparatus for preparing an eyeglass lens having a gating device
US7011773B2 (en) 2001-02-20 2006-03-14 Q2100, Inc. Graphical interface to display mold assembly position in a lens forming apparatus
US6758663B2 (en) 2001-02-20 2004-07-06 Q2100, Inc. System for preparing eyeglass lenses with a high volume curing unit
US6709257B2 (en) 2001-02-20 2004-03-23 Q2100, Inc. Eyeglass lens forming apparatus with sensor
US6702564B2 (en) 2001-02-20 2004-03-09 Q2100, Inc. System for preparing an eyeglass lens using colored mold holders
US6655946B2 (en) 2001-02-20 2003-12-02 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller for conveyor and curing units
US6893245B2 (en) 2001-02-20 2005-05-17 Q2100, Inc. Apparatus for preparing an eyeglass lens having a computer system controller
US6712331B2 (en) 2001-02-20 2004-03-30 Q2100, Inc. Holder for mold assemblies with indicia
US6612828B2 (en) 2001-02-20 2003-09-02 Q2100, Inc. Fill system with controller for monitoring use
US7052262B2 (en) 2001-02-20 2006-05-30 Q2100, Inc. System for preparing eyeglasses lens with filling station
US6676398B2 (en) 2001-02-20 2004-01-13 Q2100, Inc. Apparatus for preparing an eyeglass lens having a prescription reader
US6717181B2 (en) 2001-02-22 2004-04-06 Semiconductor Energy Laboratory Co., Ltd. Luminescent device having thin film transistor
US6703462B2 (en) 2001-08-09 2004-03-09 Dielectric Systems Inc. Stabilized polymer film and its manufacture
US20030198578A1 (en) 2002-04-18 2003-10-23 Dielectric Systems, Inc. Multi-stage-heating thermal reactor for transport polymerization
US6825303B2 (en) 2001-02-26 2004-11-30 Dielectric Systems, Inc. Integration of low ε thin films and Ta into Cu dual damascene
US6797343B2 (en) 2001-12-20 2004-09-28 Dielectric Systems, Inc. Dielectric thin films from fluorinated precursors
US20020123592A1 (en) 2001-03-02 2002-09-05 Zenastra Photonics Inc. Organic-inorganic hybrids surface adhesion promoter
US6582861B2 (en) 2001-03-16 2003-06-24 Applied Materials, Inc. Method of reshaping a patterned organic photoresist surface
US6617257B2 (en) 2001-03-30 2003-09-09 Lam Research Corporation Method of plasma etching organic antireflective coating
US6893797B2 (en) 2001-11-09 2005-05-17 Kodak Polychrome Graphics Llc High speed negative-working thermal printing plates
US6846614B2 (en) 2002-02-04 2005-01-25 Kodak Polychrome Graphics Llc On-press developable IR sensitive printing plates
US20040091811A1 (en) 2002-10-30 2004-05-13 Munnelly Heidi M. Hetero-substituted aryl acetic acid co-initiators for IR-sensitive compositions
TW576859B (en) 2001-05-11 2004-02-21 Shipley Co Llc Antireflective coating compositions
US6740685B2 (en) * 2001-05-30 2004-05-25 Honeywell International Inc. Organic compositions
JP4146105B2 (ja) 2001-05-30 2008-09-03 富士フイルム株式会社 紫外線吸収剤及びその製造方法、紫外線吸収剤を含有する組成物、ならびに画像形成方法
US6448185B1 (en) 2001-06-01 2002-09-10 Intel Corporation Method for making a semiconductor device that has a dual damascene interconnect
EP1395984A4 (en) 2001-06-05 2007-08-15 Flexplay Technologies Inc OPTICAL DEVICES WITH LIMITED ACTIVITY WITH A REACTIVE INTERMITTENT LAYER AND METHOD OF MANUFACTURING THE SAME
US6558880B1 (en) 2001-06-06 2003-05-06 Eastman Kodak Company Thermally developable imaging materials containing heat-bleachable antihalation composition
JP4181312B2 (ja) 2001-06-25 2008-11-12 富士フイルム株式会社 ネガ型画像記録材料
US6890855B2 (en) 2001-06-27 2005-05-10 International Business Machines Corporation Process of removing residue material from a precision surface
KR100863119B1 (ko) * 2001-06-29 2008-10-14 제이에스알 가부시끼가이샤 산발생제, 술폰산, 술폰산 유도체 및 감방사선성 수지조성물
JP4965033B2 (ja) 2001-06-29 2012-07-04 東レ・ダウコーニング株式会社 液状アルコキシシリル官能性シリコーン樹脂、その製造方法および硬化性シリコーン樹脂組成物
JP2003025510A (ja) 2001-07-16 2003-01-29 Shin Etsu Chem Co Ltd 反射防止性及び耐擦傷性を有する多層積層体
US6703169B2 (en) 2001-07-23 2004-03-09 Applied Materials, Inc. Method of preparing optically imaged high performance photomasks
US6649212B2 (en) 2001-07-30 2003-11-18 Guardian Industries Corporation Modified silicon-based UV absorbers useful in crosslinkable polysiloxane coatings via sol-gel polymerization
US6592999B1 (en) 2001-07-31 2003-07-15 Ppg Industries Ohio, Inc. Multi-layer composites formed from compositions having improved adhesion, coating compositions, and methods related thereto
KR100436220B1 (ko) 2001-08-30 2004-06-12 주식회사 네패스 바닥 반사방지막용 유기 중합체, 그의 제조방법 및 그를함유하는 조성물
US6514677B1 (en) 2001-08-31 2003-02-04 Eastman Kodak Company Thermally developable infrared sensitive imaging materials containing heat-bleachable antihalation composition
US6824952B1 (en) 2001-09-13 2004-11-30 Microchem Corp. Deep-UV anti-reflective resist compositions
US6890605B2 (en) 2001-09-25 2005-05-10 Jsr Corporation Method of film formation, insulating film, and substrate for semiconductor
TW591341B (en) 2001-09-26 2004-06-11 Shipley Co Llc Coating compositions for use with an overcoated photoresist
DE10151264A1 (de) 2001-10-17 2003-04-30 Degussa Aminoalkylalkoxysiloxanhaltige Gemische, deren Herstellung und deren Verwendung
US6730461B2 (en) 2001-10-26 2004-05-04 Eastman Kodak Company Thermally developable imaging materials with reduced mottle providing improved image uniformity
US6949297B2 (en) 2001-11-02 2005-09-27 3M Innovative Properties Company Hybrid adhesives, articles, and methods
AU2002359387A1 (en) 2001-11-15 2003-06-10 Honeywell International Inc. Anti-reflective coatings for photolithography and methods of preparation thereof
US8344088B2 (en) 2001-11-15 2013-01-01 Honeywell International Inc. Spin-on anti-reflective coatings for photolithography
JP2005509710A (ja) * 2001-11-16 2005-04-14 ハネウェル・インターナショナル・インコーポレーテッド フォトリソグラフィ用のスピンオングラス反射防止性コーティング
US6573175B1 (en) 2001-11-30 2003-06-03 Micron Technology, Inc. Dry low k film application for interlevel dielectric and method of cleaning etched features
AU2002354487A1 (en) 2001-12-14 2003-06-30 Asahi Kasei Kabushiki Kaisha Coating composition for forming low-refractive index thin layers
US20030171729A1 (en) 2001-12-28 2003-09-11 Kaun James Martin Multifunctional containment sheet and system for absorbent atricles
US6831189B2 (en) 2002-01-08 2004-12-14 Silecs Oy Methods and compounds for making coatings, waveguides and other optical devices
US6924384B2 (en) 2002-01-08 2005-08-02 Silecs Oy Methods and compounds for making coatings, waveguides and other optical devices
US6803476B2 (en) 2002-01-08 2004-10-12 Silecs Oy Methods and compounds for making coatings, waveguides and other optical devices
US20030176718A1 (en) 2002-01-08 2003-09-18 Rantala Juha T. Methods and compounds for making coatings, waveguides and other optical devices
US20030171607A1 (en) 2002-01-08 2003-09-11 Rantala Juha T. Methods and compounds for making coatings, waveguides and other optical devices
US6844131B2 (en) 2002-01-09 2005-01-18 Clariant Finance (Bvi) Limited Positive-working photoimageable bottom antireflective coating
US20050032357A1 (en) 2002-01-17 2005-02-10 Rantala Juha T. Dielectric materials and methods for integrated circuit applications
WO2003059990A1 (en) 2002-01-17 2003-07-24 Silecs Oy Thin films and methods for the preparation thereof
US7144827B2 (en) 2002-01-17 2006-12-05 Silecs Oy Poly(organosiloxane) materials and methods for hybrid organic-inorganic dielectrics for integrated circuit applications
WO2003063205A2 (en) 2002-01-17 2003-07-31 Silecs Oy Poly(organosiloxane) materials and methods for hybrid organic-inorganic dielectrics for integrated circuit applications
CA2472713C (en) 2002-01-18 2011-07-19 Merck & Co., Inc. N-(benzyl)aminoalkylcarboxylates, phosphinates, phosphonates and tetrazoles as edg receptor agonists
JP2003211070A (ja) 2002-01-21 2003-07-29 Toshiba Corp 塗膜の形成方法、半導体装置の製造方法および塗布液
JP2003316296A (ja) 2002-02-01 2003-11-07 Seiko Epson Corp 回路基板、電気光学装置、電子機器
WO2003067682A2 (en) 2002-02-05 2003-08-14 Gencell Corporation Silane coated metallic fuel cell components and methods of manufacture
JPWO2003066750A1 (ja) 2002-02-06 2005-06-02 旭化成株式会社 絶縁薄膜製造用塗布組成物
US7211365B2 (en) 2002-03-04 2007-05-01 Shipley Company, L.L.C. Negative photoresists for short wavelength imaging
DE10213294B4 (de) 2002-03-25 2015-05-13 Osram Gmbh Verwendung eines UV-beständigen Polymers in der Optoelektronik sowie im Außenanwendungsbereich, UV-beständiges Polymer sowie optisches Bauelement
US6906157B2 (en) * 2002-04-09 2005-06-14 Eastman Kodak Company Polymer particle stabilized by dispersant and method of preparation
AU2002309807A1 (en) * 2002-04-10 2003-10-27 Honeywell International, Inc. Low metal porous silica dielectric for integral circuit applications
JP2005522877A (ja) * 2002-04-10 2005-07-28 ハネウェル・インターナショナル・インコーポレーテッド 集積回路用の多孔質シリカ誘電体のための新規なポロジェン
US6730454B2 (en) 2002-04-16 2004-05-04 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer
US7834119B2 (en) 2002-04-18 2010-11-16 Lg Chem, Ltd. Organic silicate polymer and insulation film comprising the same
US6787281B2 (en) 2002-05-24 2004-09-07 Kodak Polychrome Graphics Llc Selected acid generating agents and their use in processes for imaging radiation-sensitive elements
US7897979B2 (en) 2002-06-07 2011-03-01 Semiconductor Energy Laboratory Co., Ltd. Light emitting device and manufacturing method thereof
KR100515583B1 (ko) 2002-06-27 2005-09-20 주식회사 엘지화학 유기실리케이트 중합체 및 이를 함유하는 절연막
CN1248556C (zh) 2002-08-05 2006-03-29 佳能株式会社 电极和布线材料吸收用底层图形形成材料及其应用
US6896821B2 (en) 2002-08-23 2005-05-24 Dalsa Semiconductor Inc. Fabrication of MEMS devices with spin-on glass
DE10242418A1 (de) 2002-09-12 2004-03-25 Wacker-Chemie Gmbh Verfahren zur Herstellung von Organopolysiloxanharz
US20040067437A1 (en) 2002-10-06 2004-04-08 Shipley Company, L.L.C. Coating compositions for use with an overcoated photoresist
US7005390B2 (en) 2002-10-09 2006-02-28 Intel Corporation Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
US7038328B2 (en) 2002-10-15 2006-05-02 Brewer Science Inc. Anti-reflective compositions comprising triazine compounds
WO2004037866A2 (en) 2002-10-21 2004-05-06 Shipley Company L.L.C. Photoresists containing sulfonamide component
US6783468B2 (en) 2002-10-24 2004-08-31 Acushnet Company Low deformation golf ball
US7122384B2 (en) 2002-11-06 2006-10-17 E. I. Du Pont De Nemours And Company Resonant light scattering microparticle methods
JP3884699B2 (ja) 2002-11-13 2007-02-21 信越化学工業株式会社 多孔質膜形成用組成物、多孔質膜とその製造方法、層間絶縁膜及び半導体装置
US7465414B2 (en) 2002-11-14 2008-12-16 Transitions Optical, Inc. Photochromic article
EP1422566A1 (en) 2002-11-20 2004-05-26 Shipley Company, L.L.C. Multilayer photoresist systems
US6766793B2 (en) 2002-12-12 2004-07-27 General Atomics Electromagnetic gun and rotating pulse forming network
US7018779B2 (en) 2003-01-07 2006-03-28 International Business Machines Corporation Apparatus and method to improve resist line roughness in semiconductor wafer processing
US20040166434A1 (en) * 2003-02-21 2004-08-26 Dammel Ralph R. Photoresist composition for deep ultraviolet lithography
US7507783B2 (en) 2003-02-24 2009-03-24 Brewer Science Inc. Thermally curable middle layer comprising polyhedral oligomeric silsesouioxanes for 193-nm trilayer resist process
US6902861B2 (en) 2003-03-10 2005-06-07 Kodak Polychrome Graphics, Llc Infrared absorbing compounds and their use in photoimageable elements
JP2004307692A (ja) 2003-04-09 2004-11-04 Shin Etsu Chem Co Ltd 多孔質膜形成用組成物、多孔質膜の製造方法、多孔質膜、層間絶縁膜及び半導体装置
JP2004307694A (ja) 2003-04-09 2004-11-04 Shin Etsu Chem Co Ltd 多孔質膜形成用組成物、多孔質膜の製造方法、多孔質膜、層間絶縁膜及び半導体装置。
DK1479734T3 (da) * 2003-05-20 2009-05-11 Dsm Ip Assets Bv Nanostruktureret overflade-coatingsproces, nanostrukturerede coatinger og artikler omfattende coatingen
KR100882409B1 (ko) 2003-06-03 2009-02-05 신에쓰 가가꾸 고교 가부시끼가이샤 반사 방지용 실리콘 수지, 반사 방지막 재료, 이것을 이용한 반사 방지막 및 패턴 형성 방법
KR100857967B1 (ko) 2003-06-03 2008-09-10 신에쓰 가가꾸 고교 가부시끼가이샤 반사 방지막 재료, 이것을 이용한 반사 방지막 및 패턴형성 방법
US7008476B2 (en) 2003-06-11 2006-03-07 Az Electronic Materials Usa Corp. Modified alginic acid of alginic acid derivatives and thermosetting anti-reflective compositions thereof
US6899988B2 (en) 2003-06-13 2005-05-31 Kodak Polychrome Graphics Llc Laser thermal metallic donors
JP2005049542A (ja) 2003-07-31 2005-02-24 Fuji Photo Film Co Ltd 画像形成方法及び現像液
US7867331B2 (en) * 2003-08-04 2011-01-11 Honeywell International Inc. Coating composition optimization for via fill and photolithography applications and methods of preparation thereof
US6942083B2 (en) 2003-08-07 2005-09-13 Ford Global Technologies, Llc Viscous fan clutch actuated by a heating element and ambient air
US7172849B2 (en) 2003-08-22 2007-02-06 International Business Machines Corporation Antireflective hardmask and uses thereof
US20050074981A1 (en) 2003-10-06 2005-04-07 Meagley Robert P. Increasing the etch resistance of photoresists
US7270931B2 (en) 2003-10-06 2007-09-18 International Business Machines Corporation Silicon-containing compositions for spin-on ARC/hardmask materials
US8101015B2 (en) * 2003-10-07 2012-01-24 Honeywell International Inc. Coatings and hard mask compositions for integrated circuit applications methods of production and uses thereof
KR100979355B1 (ko) 2003-10-09 2010-08-31 삼성전자주식회사 다반응성 환형 실리케이트 화합물, 상기 화합물로부터제조된 실록산계 중합체 및 상기 중합체를 이용한 절연막제조방법
US20050089642A1 (en) 2003-10-28 2005-04-28 Rohm And Haas Electronic Materials, L.L.C. Dielectric materials preparation
US8053159B2 (en) 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
JP5010098B2 (ja) 2003-11-24 2012-08-29 三星電子株式会社 分子多面体型シルセスキオキサンを用いた半導体層間絶縁膜の形成方法
DE202004000783U1 (de) * 2004-01-19 2005-06-02 Dolmar Gmbh Vorrichtung zum Schieben eines Trennschleiferwagens
TWI367686B (en) 2004-04-07 2012-07-01 Semiconductor Energy Lab Light emitting device, electronic device, and television device
JP4553113B2 (ja) 2004-06-10 2010-09-29 信越化学工業株式会社 多孔質膜形成用組成物、パターン形成方法、及び多孔質犠性膜
EP1615260A3 (en) 2004-07-09 2009-09-16 JSR Corporation Organic silicon-oxide-based film, composition and method for forming the same, and semiconductor device
US7475093B2 (en) 2004-10-15 2009-01-06 Microsoft Corporation Memory cache management in XML/relational data mapping
US20060155594A1 (en) 2005-01-13 2006-07-13 Jess Almeida Adaptive step-by-step process with guided conversation logs for improving the quality of transaction data
JP4513966B2 (ja) 2005-03-07 2010-07-28 信越化学工業株式会社 プライマー組成物及びそれを用いた電気電子部品
JP2006295134A (ja) 2005-03-17 2006-10-26 Sanyo Electric Co Ltd 半導体装置およびその製造方法
JP4973697B2 (ja) 2009-06-29 2012-07-11 株式会社デンソー 電力変換装置
JP3162441U (ja) 2010-06-21 2010-09-02 株式会社 プライス 空気浄化アタッチメントおよび空気清浄機
JP5012985B2 (ja) 2010-10-08 2012-08-29 カシオ計算機株式会社 撮像装置及びそのプログラム
JP2011018945A (ja) 2010-10-15 2011-01-27 Sony Chemical & Information Device Corp 接合体及びその製造方法

Also Published As

Publication number Publication date
US20120001135A1 (en) 2012-01-05
US8992806B2 (en) 2015-03-31
EP1695142A4 (en) 2007-05-30
KR101324022B1 (ko) 2013-11-01
EP1695142A2 (en) 2006-08-30
WO2005049681A3 (en) 2006-04-20
CN1902546B (zh) 2012-11-14
US20050171277A1 (en) 2005-08-04
US8053159B2 (en) 2011-11-08
TWI374914B (en) 2012-10-21
KR20060099532A (ko) 2006-09-19
JP2012025957A (ja) 2012-02-09
JP5467082B2 (ja) 2014-04-09
WO2005049681A2 (en) 2005-06-02
CN103627316B (zh) 2016-08-03
TW200538511A (en) 2005-12-01
CN103627316A (zh) 2014-03-12
JP4857119B2 (ja) 2012-01-18
KR20120115432A (ko) 2012-10-17
EP1695142B1 (en) 2019-07-31
JP2007520737A (ja) 2007-07-26

Similar Documents

Publication Publication Date Title
CN1902546A (zh) 填孔和光刻用的抗反射涂层及其制备方法
US9069133B2 (en) Anti-reflective coating for photolithography and methods of preparation thereof
CN1303619C (zh) 形成具有低介电常数的薄膜的方法
CN1273870C (zh) 形成光刻用防反射膜的组合物
US7867331B2 (en) Coating composition optimization for via fill and photolithography applications and methods of preparation thereof
WO2018212116A1 (ja) リソグラフィー用膜形成材料、リソグラフィー用膜形成用組成物、リソグラフィー用下層膜及びパターン形成方法
CN1877450A (zh) 涂料组合物
CN1666150A (zh) 基于多环聚合物的感光组合物
CN1782878A (zh) 正型感光性硅氧烷组合物、由其形成的固化膜、以及具备固化膜的元件
CN1570762A (zh) 聚合物和含有该聚合物的光刻胶
CN1542546A (zh) 多层光致抗蚀剂系统
WO2003044078A9 (en) Anti-reflective coatings for photolithography and methods of preparation thereof
CN1732200A (zh) 有机组合物
WO2004044025A2 (en) Anti-reflective coatings for photolithography and methods of preparation thereof
TW200526750A (en) Coatings and hard mask compositions for integrated circuit applications, methods of production and uses thereof
CN1445315A (zh) 一种制备绝缘膜和显示器的射线敏感组合物
EP3227390A1 (en) Carbosilane polymers
CN1830202A (zh) 含有具有被保护的羧基的化合物的形成光刻用下层膜的组合物
CN1991581A (zh) 抗蚀底膜的硬掩模层组合物及半导体集成电路装置的制造方法
JP2019200244A (ja) リソグラフィー用膜形成材料、リソグラフィー用膜形成用組成物、リソグラフィー用下層膜及びパターン形成方法
KR20050044501A (ko) 포토리소그래피용 무반사 코팅 및 이의 제조 방법
CN1782872A (zh) 用于形成抗反射涂层的组合物

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant