US20060081557A1 - Low-k dielectric functional imprinting materials - Google Patents

Low-k dielectric functional imprinting materials Download PDF

Info

Publication number
US20060081557A1
US20060081557A1 US10/967,740 US96774004A US2006081557A1 US 20060081557 A1 US20060081557 A1 US 20060081557A1 US 96774004 A US96774004 A US 96774004A US 2006081557 A1 US2006081557 A1 US 2006081557A1
Authority
US
United States
Prior art keywords
composition
organic
mold
substrate
dielectric constant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/967,740
Inventor
Frank Xu
Jun Chun
Michael Watts
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Nanotechnologies Inc
Original Assignee
Molecular Imprints Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Molecular Imprints Inc filed Critical Molecular Imprints Inc
Priority to US10/967,740 priority Critical patent/US20060081557A1/en
Assigned to MOLECULAR IMPRINTS, INC. reassignment MOLECULAR IMPRINTS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: WATTS, MICHAEL P.C., CHUN, JUN SUNG, XU, FRANK Y.
Priority to PCT/US2005/037063 priority patent/WO2006044690A2/en
Priority to KR1020077008751A priority patent/KR20070083711A/en
Priority to EP05813005A priority patent/EP1805559A2/en
Priority to JP2007537939A priority patent/JP2008517480A/en
Priority to TW094136175A priority patent/TW200621895A/en
Publication of US20060081557A1 publication Critical patent/US20060081557A1/en
Priority to US13/172,350 priority patent/US8889332B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00444Surface micromachining, i.e. structuring layers on the substrate
    • B81C1/0046Surface micromachining, i.e. structuring layers on the substrate using stamping, e.g. imprinting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76817Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics using printing or stamping techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24479Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness
    • Y10T428/24521Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness with component conforming to contour of nonplanar surface
    • Y10T428/24545Containing metal or metal compound
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24479Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness
    • Y10T428/24612Composite web or sheet

Definitions

  • the present invention relates to a method or material of fabricating integrated circuits, and in particular to a method of forming an integrated circuit on a substrate having a low dielectric constant.
  • dielectric material in integrated circuits is silicon dioxide, which has a dielectric constant of about 4.0.
  • Silicon dioxide is readily grown or formed on the surface of a planar silicon wafer that is used to form the majority of the current semiconductor devices. Silicon dioxide has the requisite mechanical and thermal properties to withstand processing operations and thermal cycling associated with semiconductor manufacturing.
  • dielectric materials for future integrated circuit devices exhibit a lower dielectric constant (e.g., ⁇ 3.0) than exhibited by current silicon dioxide.
  • inorganic materials have an inherent limitation to dielectric constants of lower than about three, several types of alternative materials have been developed to achieve lower dielectric constants. A number of these alternative materials are organic polymers, which if at least partially fluorinated can have a dielectric constant less than about three.
  • an integrated circuit device comprising (i) a substrate; (ii) metallic circuit lines positioned on the substrate and (iii) a dielectric material positioned on the circuit lines.
  • the dielectric material comprising porous organic modified polysilica.
  • porous inorganic materials can inherently withstand higher processing temperatures, like other dielectric materials, additional challenges arise due to the complexity of the patterning processes.
  • Lithographic techniques are often employed in device micro fabrication. Traditionally photolithography has been used to define or remove a portion of the dielectric material after it deposited on the substrate. See S. Wolf et al., Silicon Processing for the VLSI Era, Volume 1—Process Technology, (1986), pp. 407-413.
  • photo resist materials are applied to a dielectric material after deposition on a planar substrate.
  • the resist layer is selectively exposed to a form of radiation.
  • An exposure tool and mask are often used to affect the desired selective exposure.
  • Patterns in the resist are formed when the dielectric layer undergoes a subsequent “developing” step.
  • the areas of resist remaining after development protect the dielectric and substrate regions that they cover. Locations from which resist has been removed can be subjected to a variety of additive (e.g., lift-off) or subtractive (e.g., etching) processes that transfer the pattern onto the substrate surface.
  • additive e.g., lift-off
  • subtractive e.g., etching
  • the low-K dielectric layer must be first formed, and then patterned prior to deposition of the conductor material.
  • the plurality of required processing steps inherently increases the processing time, resulting in higher costs as well as generally reduced product yield.
  • the alternative inorganic materials must be capable of deposition with pore sizes that are a fraction of the size of these features.
  • the aforementioned objects are achieved by deploying imprint lithography to mold a relief image corresponding to microcircuit features on a substantially planar substrate.
  • the imprint molding process deploys a polymerizable resin composition that is subsequently converted to a porous low dielectric constant inorganic material.
  • the method of forming a relief image involves at least the steps of covering a substantially planar substrate with a polymerizable fluid composition; then contacting the polymerizable fluid composition with a mold having a relief structure formed therein such that the polymerizable fluid composition substantially fills the relief structure in the mold; subjecting the polymerizable fluid composition to conditions to polymerize the fluid composition and form a solidified polymeric material there from on the substrate; separating the mold from the solid polymeric material such that a replica of the relief structure in the mold is formed in the solidified polymeric material.
  • the polymerizable composition is preferably a UV curable organic modified silicate that compromises a decomposable organic component known as a porogen. Pores remain as the organic porogen decomposes during the subsequent processing that converts the polymerized organic modified silicate to an inorganic material.
  • UV curing is preferably conducted through a mold that is UV transparent
  • another object of achieving a robust process for imprinting includes using a UV curable polymerizable fluid that includes an organic modified silicate, a decomposable organic compound, and a fluorosurfactant to improve the release of the cured composition from the imprint-molding tool.
  • a process that includes the steps of providing a composition that includes a UV curable organic modified silicate, a decomposable organic compound and a solvent; then spin coating the composition on a substrate, removing the solvent, imprinting a circuit pattern in the remaining composition, UV curing the remaining composition, heating the composition to condense the organic modified silicate and decompose the decomposable polymer to form a porous patterned dielectric layer, and depositing metal conductors within the patterns formed in the porous dielectric material.
  • FIG. 1 is schematic sectional elevation showing the mold with respect to the substrate, as the first step in the process of imprinting a low dielectric constant material.
  • FIG. 2 is schematic sectional elevation showing the disposition of the polymerizable fluid between the mold and the substrate, as the next step in the process of imprinting a low dielectric constant material.
  • FIG. 3 is schematic sectional elevation showing the polymerized fluid disposed on the substrate after removal of the mold in a subsequent step in the process of imprinting a low dielectric constant material.
  • FIG. 4 is schematic sectional elevation showing the polymerized material disposed on the substrate after conversion to a porous dielectric material in a subsequent step in the process of imprinting a low dielectric constant material.
  • FIG. 5 is schematic sectional elevation showing the conductive material deposited over the porous dielectric material in a subsequent step in the process of forming a circuit.
  • FIG. 6 is schematic sectional elevation showing the circuit formed by planarizing the conductive material deposited in the previous step.
  • FIG. 7 is schematic illustration of alternative methods for creating a variety of organically modified silicates that are optionally used to form the polymerizable fluid.
  • FIG. 8 is schematic illustration of the chemical reactions that occur during the polymerization of the fluid and the subsequent conversion to a porous dielectric material in FIGS. 2, 3 and 4 .
  • FIGS. 1 through 8 wherein like reference numerals refer to like components in the various views, there is illustrated therein a new and improved circuit having a low dielectric constant, generally denominated 100 herein.
  • the polymerizable material is a modified silicate having organic functional groups that upon exposure to actinic radiation cross-link or react to form a non-fluid material replicating the shape of the mold.
  • the cured or polymerized organic modified silicate is subsequently, after removal of the mold, converted to an inorganic silicate upon thermal decomposition of the organic functional groups therein.
  • the polymerizable material also contains one or more components, which upon decomposition form pores or voids in the inorganic silicate.
  • the pore forming material may be a separate component mixed, dissolved or dispersed on the polymerizable materials, or can also be chemically bonding to the organic modified silicate.
  • the polymerizable fluid composition may also comprise a diluent, and other materials employed in polymerizable fluids such as, but not limited, to catalysts and photo initiators, as will be further described below with respect to preferred embodiments.
  • the mold used in the methods of the invention may be formed from various conventional materials, such as, but not limited to, quartz, silicon, organic polymers, siloxane polymers, borosilicate glass, fluorocarbon polymers, metal, and combinations of the above.
  • the material is selected such that the mold is UV transparent, which allows the polymerizable fluid composition covered by the mold to be exposed to an external radiation source.
  • quartz molds are most preferred.
  • the mold may be treated with a surface modifying agent.
  • Surface modifying agents that may be employed include those that are known in the art.
  • An example of a surface modifying agent is a fluorocarbon silylating agent.
  • These surface modifying agents or release materials may be applied, for example, from plasma sources, a Chemical Vapor Deposition method (CVD) such as analogs of the Parylene deposition process, or a treatment involving deposition from a solution.
  • CVD Chemical Vapor Deposition method
  • FIG. 1 illustrates the first step in the step-by-step sequence for carrying out the method of the invention, which is depositing a low-k dielectric material and circuit pattern on a substrate 10 .
  • a UV transparent mold 30 is brought into proximity with substrate 10 such that gap 40 is formed between the bottom surface 31 of mold 30 and substrate 10 .
  • Mold 30 has a nanoscale relief structure formed therein having an aspect ratio preferably ranging from about 0.1 to about 10, and more preferably from about 0.5 to about 2.
  • the relief structures in the mold typically consist of a plurality of protrusions 41 , each pair of which defines a recession 43 therebetween.
  • An exemplary width W 1 and depth d 1 of protrusions 41 and recessions 43 may be from about 10 nm to about 5,000 microns.
  • projections 41 and recessions 43 may correspond to virtually any feature.
  • the polymerizable fluid composition preferably has a low viscosity such that it may fill recessions 43 in an efficient manner to form a contiguous layer of composition 50 over substrate 10 .
  • the viscosity of composition 50 may range from about 0.01 centipoises (cps) to about 100 cps measured at 25° C. and more preferably from about 0.01 cps to about 5 cps measured at this temperature.
  • An exemplary technique for depositing composition 50 employs depositing one or more spaced-apart discrete droplets droplets (not shown) of composition 50 on substrate 10 .
  • the droplets (not shown) are arranged in a pattern that minimizes trapping of gases when the droplets (not shown) of composition 50 merge to form a contiguous layer over substrate 10 by interaction with mold 30 , e.g., mechanical contact, electrical contact and the like.
  • droplets (not shown) of composition 50 are disposed on substrate 10 . Contact between mold 30 and the droplets (not shown) is effectuated.
  • composition 50 forms a contiguous layer over substrate 10 . It may be desired to purge the region of substrate 10 , for example with Helium gas flowed at 5 pounds per square inch (psi), defined between mold 30 and both substrate 10 and droplets (not shown) before contact between mold 30 and composition 50 occurs.
  • psi pounds per square inch
  • the polymerizable fluid can be first deposited as a substantially uniform fluid layer on substrate 10 employing, for example, spin-coating techniques. Thereafter mold 30 is brought to the same proximity as shown in FIG. 2 . In such cases, the fluid need not have such a low viscosity, but the viscosity of the fluid should be less than about 200,000 cps.
  • the mixture may contain a solvent as an inert diluent.
  • the solvent may be selected to dissolve a particular pore forming material as well as a fluorosurfactant (described in the more preferred embodiments below) or simply to lower the viscosity to a level low enough for spin coating on a planar substrate. After spin coating, the solvent is removed by vacuum or thermal evaporation, for example at about 100° C. for about 1 min. The then solvent free, planarized fluid can be directly imprinted by contacting the mold thereto.
  • Suitable substrates for the device of the present invention comprise silicon, silicon dioxide, glass, silicon nitride, ceramics, aluminum, copper and gallium arsenide. Other suitable substrates will be known to those skilled in the art.
  • an underlying layer of insulated, planarized circuit lines can also function as a substrate.
  • the polymerizable fluid composition 50 is then exposed to conditions sufficient to polymerize the fluid.
  • the polymerizable fluid composition 50 is exposed to radiation sufficient to polymerize the fluid composition and form a solidified polymeric material represented by 60 in FIG. 3 .
  • the polymerizable fluid composition is exposed in to ultraviolet light, although other means for polymerizing the fluid may be employed such as, for example, heat or other forms of radiation. It should be understood that in some applications it might be desirable to use UV transparent substrates, in which case the exposure can be through the substrate, the use of a mold that is opaque UV light.
  • organic modified polysilica is an oligomeric or polymeric compound comprising silicon, carbon, oxygen and hydrogen atoms.
  • the polymerizable (or crosslinkable) materials that may be used in the methods of the invention may include various silicon-containing materials that are often present themselves in the form of polymers or oligomers.
  • Suitable organic polysilica include (i) silsesquioxanes (ii) partially condensed alkoxysilanes (e.g., partially condensed by controlled hydrolysis tetraethoxysilane having a number average molecular weight of about 500 to 20,000); (iii) organically modified silicates having the composition RSiO 3 and R 2 SiO 2 wherein R is an organic substituent and (iv) partially condensed orthosilicates having the composition SiOR 4 .
  • Silsesquioxanes are polymeric silicate materials of the type RSiO 1.5 where R is an organic constituent.
  • the silicon-containing materials preferably contains the element silicon in an amount greater than about 10 percent based on the weight of the polymerizable fluid composition, and more preferably greater than about 20 weight percent.
  • the silicon-containing polymerizable material also includes one or more pendant functional from a variety that includes, as non-limiting examples, epoxy groups, ketone groups, acetyl groups, vinyl groups, acrylate groups, methacrylate groups, and combinations of the above.
  • suitable polymerizable fluid compositions may react according to a variety of reaction mechanisms such as, but not limited to, acid catalysis, free radical polymerization, cationic polymerization, or 2+2 photocycloaddition, and the like.
  • organic polysilica are of relatively low molecular weight, but predominantly have two or more pendent and reactive functional group per molecule.
  • organically modified silicates are available under the trade name “ORMOCER” type resins are available from Micro Resist Technology GmbH (Berlin, Germany).
  • ORMOCER organically modified silicates
  • these materials are formed through the controlled hydrolysis and condensation of organically modified silanes, particularly alkyltrialkoxysilanes, such as the mixture of molecules 710 , 720 , 730 and 740 as is illustrated in FIG. 7 .
  • X may represent, for example, CH 3 , CH 2 H 5 , CH 3 H 7 , and CH 4 H 9 .
  • R may be any organic fragment such as methyl, ethyl, propyl, butyl, isopropyl, aryl, phenyl, as well as alkoxy (in which R is —(OX)).
  • W is preferably aryl or phenyl. The proportions of molecules of the type 710 , 720 , 730 and 740 may be modified to affect the molecular weight, extent of cross-linking and glass transition temperature of the potential resultant product species.
  • a significant portion of the trialkoxysilane more preferably has a reactive functional group, as in species 710 , where R now terminates in a methacrylate group.
  • R can terminate in an epoxide group, as for example species 740 .
  • R can also terminate in methacrylate, acrylate, vinyl, epoxide, and the like to provide a cross-linkable functionally that is activated with UV light and the appropriate photo initiator and/or catalyst.
  • Z in is optionally H, CH 3 , CH 2 H 5 , CH 3 H 7 , C 4 H 9 or a pore forming material P 2 or P 3 .
  • ORMOCER encompasses the foregoing materials as well as other organically modified ceramics, sometimes referred the trade names ORMACORE and ORMACLAD. It should be noted that for some portion of the composition Si can alternatively be Ti, Zr, or Al to the extent it is desirable to produce a mixed metal oxide material to provide other properties than a lower dielectric constant.
  • the aforementioned trialkoxysilane reactants form various types of cross-linked networks with one or more reactive functional groups.
  • —OX groups are eliminated such that a Si—O— bonded network is formed having the generic structure illustrated as 750 .
  • the silicate portion of the network 750 is illustrated schematically as an oval for the other species formed in condensation reaction 700 .
  • polycondensation reaction 700 produces a variety of species having one of more methacrylate, acrylate, vinyl, epoxide, and the like pendent function groups capable of cross-linking with each other either thermally or on exposure to actinic radiation with a suitable photo initiator and/or catalyst.
  • R or Z is alternatively a porogen, designated P 2 or P 3 wherein the above the condensation reaction bonds the porogen pendent groups to the Si—O— bonded network 750 , as 755 .
  • P 3 is intended to encompass structures and molecules having an additional pendent methacrylate, acrylate, vinyl, epoxide, and the like pendent function groups capable of cross-linking.
  • P 2 and P 3 thus can be oligomeric or polymeric, to vary or optimize the pore size and distribution.
  • trimethoxysilyl norbornene (TMSNB) and triethoxysilyl norbornene (TESNB) polymers have been used as such chemically bonded porogens as described by Padovani, et al in “Chemically Bonded Porogens in Methylsilsesquioxane, I. Structure and Bonding, Journal of the Electrochemical Society, 149 (12) F161-F170 (2002).), which is incorporated herein by references.
  • P 1 or P 2 can be poly (caprolactone) or other polyols of various molecular weights with polyhydroxyl terminated or branched hydroxyl terminated species preferred to minimize the viscosity of the polymerizable fluid.
  • reaction 700 result in, among others, species 741 , which has a Si—O— bonded network 750 with an epoxide pendent group, whereas other products include species 744 has a Si—O— bonded network 750 with a methacrylate pendent group.
  • species 742 has Si—O— bonded network 750 with both an epoxide and a methacrylate pendent group
  • species 743 has Si—O— bonded network 750 with two methacrylate pendent groups.
  • species 755 has Si—O— bonded network 750 with an epoxide, methacrylate and pore forming pendent group, P 2 .
  • the Si—O— bonded network 750 has pendent epoxide and methacrylate groups as well as the pore forming pendent group, P 3 , with P 3 having the third pendent reactive group, that is methacrylate, bonded or pendent from it.
  • FIG. 8 illustrates the chemical reactions that occur during the polymerization of the fluid 810 or 815 and the subsequent conversion to a porous dielectric material 835 or 840 .
  • Starting with result of reaction 700 provides substantial number of compounds comprising a Si—O— bonded network 750 with two or pendent reactive groups suitable as the polymerizable fluid.
  • the porogen, P 1 could be present as a simple mixture that is either phase separated or dissolved in the polymerizable fluid. If the porogen is phase separated, it should be a stable emulsion with a particle size on the scale of 3 to 50 nm.
  • the mixture can include other species, such as 841 , a Si—O— bonded network 750 with an epoxide and two methacrylate pendent groups.
  • a Si—O— bonded network 750 with an epoxide and two methacrylate pendent groups In an alternative species 860 , two methacrylate groups are pendent from the Si—O— bonded network 750 , as well as a porogen group P 3 having an epoxide group pendent from it.
  • species 861 an epoxide group, methacrylate groups and porogen are pendent from the Si—O— bonded network 750 .
  • the polymerizable fluid includes the Si—O— bonded network 750 with pendent reactive groups and a porogen material, bonded, dissolved or dispersed in the fluid.
  • the subsequent polymerization step 815 wherein the fluid is exposed to actinic radiation with the mold in place, results in the solid cross-linked resin 880 .
  • the mixture contains epoxide groups it is preferable to include a photo initiator that creates an acid such that the complete curing of a cross-linked network can be accomplished in a single step, such that the mold can be rapidly removed and used to imprint other devices or portions of a substrate.
  • the subsequent cross-linking reaction 810 may initially occur via the methacrylate groups. This may be preferable if one wishes to increase the viscosity or provide a partially cross-linked the organic silicate precursors prior to a final thermal cure process 820 , which would cross-link any remaining epoxy groups, also forming a solid material having a three dimension cross-linked network 880 .
  • the curing can be accomplished in multiple steps, using what is termed a soft bake at between 80 to 120° C. for 5 min. or less, followed by a higher temperature cure at between about 120 to 240° C., for up to about 3 hrs.
  • the final step to decompose the organic modified silicate, to form porous silicate 70 in FIG. 4 preferably occurs under conditions that heat the material to a temperature of about 425-450° C. for about 1 hour under nitrogen.
  • the decomposition process conditions can also be carried out in stages, depending on the differential temperature dependence of the decomposition rates of the porogen as compared to the organic modified silicate.
  • whether the organic decomposition and elimination of the porogen is a one-step process 835 leading to final porous dielectric material 890 , or take place in two steps, fundamentally the same reaction chemistry occurs. That is in step 830 as organic groups pendent on the silicon are decomposed.
  • the organic modified silicate contains some hydroxyl groups resulted from the partial polycondensation reaction 700 .
  • the hydroxyl groups are represented in FIG. 8 by Si—OH.
  • water is condensed from adjacent Si—OH groups forming a substantially inorganic silica network.
  • the porogen P 1 , P 2 or P 3 materials that are phase segregated decompose forming nanometer scale pore, preferably having a diameter of about 3 to 30 nm or about one tenth of the feature size W 1 and d 1 .
  • the mold 30 is removed to leave the solidified polymeric material 60 deposited on the substrate 10 .
  • the patterned organic silicate coating has grooves 61 surrounded by plateaus 62 .
  • the resultant patterned polymeric material 60 is converted to a substantially inorganic porous dielectric coating 70 , that still includes groove 71 surrounded by plateaus 72 , with a uniform dispersion of pores 41 .
  • Another feature of the present invention is forming the dielectric material, which is positioned over the circuit lines and/or between the circuit lines and on the substrate.
  • the dielectric material is often planarized to function as a substrate for lithographic formation of the next layer of circuit lines.
  • the dielectric material comprises porous organic polysilicate.
  • a metallic film 80 is deposited onto the patterned dielectric layer 70 .
  • Preferred metallic materials is selected to provide suitable circuit lines and thus comprises a metallic, electrically conductive, material such as include copper, tungsten, aluminum, silicides, gold, silver, or alloys thereof, and the like.
  • the metal is suitably deposited onto the patterned dielectric layer by art known techniques such as chemical vapor deposition (CVD), plasma enhanced CVD, electro and electroless deposition, sputtering or the like.
  • the circuit lines may be coated with a metallic liner such as a layer of nickel, tantalum or chromium or other layers such barrier or adhesion layers (e.g., SiN, TiN).
  • the last step of the process involves removal of excess metallic material (e.g., planarizing the metallic film 80 ) so that the top of the metal filled grooves 91 are generally level with the top of the patterned dielectric layer 72 , resulting in integrated circuit device 100 .
  • Device 100 generally comprises substrate 10 , metallic circuit lines 90 and dielectric material 70 . Planarization can be accomplished using chemical/mechanical polishing or selective wet or dry etching. Suitable chemical/mechanical polishing techniques will be known to those skilled in the art.
  • the interconnected circuit lines 90 function to distribute electrical signals in the device and to provide power input to and signal output from the device.
  • Suitable integrated circuit devices will generally comprise multiple layers of circuit lines, which are interconnected by vertical metallic studs (not shown in the figure).
  • the polymerizable composition also includes a fluorosurfactant to improve the release properties and performance life of the imprint mold or tool.
  • a fluorosurfactant a non-ionic polymeric fluorochemical surfactant sold under the trade name NOVEC FC-4432 by 3M Performance Materials Division (St. Paul, Minn.) Fluorosurfactant.
  • An alternative fluorosurfacants include ZONYL FSO-100, available from DuPont Corporation (Wilmington, Del.)
  • percentage or fraction decomposable polymer (porogen) to Si— is selected to produce a pore volume from about 10 to 40 volume %, and more preferably 20 to 30%, depending on the desired dielectric constant and the ultimate mechanical strength and durability required of the dielectric layer, it being understood that even for nanoscale pores, increasing the total porosity decreases the strength and durability.
  • the porogen component preferably comprises from about 10 to 50 weight percent of the composition. Additionally it is preferable if the organic modified silicate comprises at least about 10 weight percent silicon. More preferably, the organic modified silicate has a molecular weight of less than about 50,000. Under such conditions, the polymerizable fluid composition preferably has viscosity of less than about 200,000 cps.
  • the inventive process is susceptible to achieving the smallest pore sizes, as the presence of the micro relief of the mold prior to the pore generation process minimizes the tendency for the nucleation and growth of larger pores.

Abstract

In a substantially planar circuit, the conductors are separated by an inorganic material with a dielectric constant of less than about 3.0. The dielectric layers are formed in a process that includes defining trenches and/or vias for the conductors by imprinting an initially planar layer of a radiation curable composition. The imprinting die is preferably UV transparent such that the composition is UV cured while the imprint die is in place. The curable composition includes an organic modified silicate compound and a second decomposable organic component, the latter forming nanometer scale pores as the organic compounds are subsequently decomposed to provide a polysilicate matrix. The pores reduce the effective dielectric constant from that of otherwise dense silicon dioxide.

Description

    BACKGROUND OF INVENTION
  • The present invention relates to a method or material of fabricating integrated circuits, and in particular to a method of forming an integrated circuit on a substrate having a low dielectric constant.
  • There is a continuing desire in the microelectronics industry to increase the circuit density in multilevel integrated circuit devices, e.g., memory and logic chips, thereby increasing their performance and reducing their cost. In order to accomplish this goal, it is also desirable to reduce the minimum feature size on the chip, e.g., circuit line width, and also to decrease the dielectric constant of the interposed dielectric material to enable closer spacing of circuit lines without an increase in crosstalk and capacitive coupling. Further, there is a desire to reduce the dielectric constant of the dielectric materials such as utilized in the back end of the line (BEOL) portion of integrated circuit devices, which contain input/output circuitry, to reduce the requisite drive current and power consumption for the device.
  • The most commonly used dielectric material in integrated circuits is silicon dioxide, which has a dielectric constant of about 4.0. Silicon dioxide is readily grown or formed on the surface of a planar silicon wafer that is used to form the majority of the current semiconductor devices. Silicon dioxide has the requisite mechanical and thermal properties to withstand processing operations and thermal cycling associated with semiconductor manufacturing. However, it is desired that dielectric materials for future integrated circuit devices exhibit a lower dielectric constant (e.g., <3.0) than exhibited by current silicon dioxide. As inorganic materials have an inherent limitation to dielectric constants of lower than about three, several types of alternative materials have been developed to achieve lower dielectric constants. A number of these alternative materials are organic polymers, which if at least partially fluorinated can have a dielectric constant less than about three. However, the development of appropriate organic polymers, as well as their depositions and patterning methods poses significant challenges. The selection or choice of an organic material is frequently limited by the need for higher temperature steps in other aspects of the process, such as metallization or semiconductor fabrication. Another type of alternative material is an inorganic material with dispersed micro voids or pores to achieve a lower effective dielectric constant. Efforts to develop such materials are generally described in J. H. Golden, C. J. Hawker and P. S. Ho, “Designing Porous low-K Dielectrics”, Semiconductor International, May 2001, which is incorporated herein by reference. Further, U.S. Pat. No. 5,895,263, to Carter, et al. and issued on Apr. 20, 1999, which is incorporated herein by reference, teaches a process for forming an integrated circuit device comprising (i) a substrate; (ii) metallic circuit lines positioned on the substrate and (iii) a dielectric material positioned on the circuit lines. The dielectric material comprising porous organic modified polysilica.
  • Although porous inorganic materials can inherently withstand higher processing temperatures, like other dielectric materials, additional challenges arise due to the complexity of the patterning processes. Lithographic techniques are often employed in device micro fabrication. Traditionally photolithography has been used to define or remove a portion of the dielectric material after it deposited on the substrate. See S. Wolf et al., Silicon Processing for the VLSI Era, Volume 1—Process Technology, (1986), pp. 407-413. Using microcircuit fabrication as an example, photo resist materials are applied to a dielectric material after deposition on a planar substrate. Next, the resist layer is selectively exposed to a form of radiation. An exposure tool and mask are often used to affect the desired selective exposure. Patterns in the resist are formed when the dielectric layer undergoes a subsequent “developing” step. The areas of resist remaining after development protect the dielectric and substrate regions that they cover. Locations from which resist has been removed can be subjected to a variety of additive (e.g., lift-off) or subtractive (e.g., etching) processes that transfer the pattern onto the substrate surface. However, photolithography has inherent size limitations that demand the use of shorter wavelength sources and more sophisticated optics to reduce the line width and feature sizes in the micro circuitry.
  • Thus in the process of U.S. Pat. No. 5,895,263 the low-K dielectric layer must be first formed, and then patterned prior to deposition of the conductor material. The plurality of required processing steps inherently increases the processing time, resulting in higher costs as well as generally reduced product yield.
  • Further, as it is desirable to decrease the size of circuit features, that is line width and spacing between conductors, the alternative inorganic materials must be capable of deposition with pore sizes that are a fraction of the size of these features.
  • It is therefore a first object of the present invention to provide an improved method of fabricating an integrated circuit device comprising a low dielectric constant material between conductive lines and/or vias.
  • It is another object of the present invention to provide a process to deposit a patterned low dielectric constant inorganic material on a planar substrate in a minimum number of process steps.
  • It is a further object of the invention to provide a robust, repeatable process for depositing a patterned low dielectric constant inorganic material.
  • Other objects and advantages will be apparent from the following disclosure.
  • SUMMARY OF INVENTION
  • In the present invention, the aforementioned objects are achieved by deploying imprint lithography to mold a relief image corresponding to microcircuit features on a substantially planar substrate. The imprint molding process deploys a polymerizable resin composition that is subsequently converted to a porous low dielectric constant inorganic material.
  • The method of forming a relief image involves at least the steps of covering a substantially planar substrate with a polymerizable fluid composition; then contacting the polymerizable fluid composition with a mold having a relief structure formed therein such that the polymerizable fluid composition substantially fills the relief structure in the mold; subjecting the polymerizable fluid composition to conditions to polymerize the fluid composition and form a solidified polymeric material there from on the substrate; separating the mold from the solid polymeric material such that a replica of the relief structure in the mold is formed in the solidified polymeric material. The polymerizable composition is preferably a UV curable organic modified silicate that compromises a decomposable organic component known as a porogen. Pores remain as the organic porogen decomposes during the subsequent processing that converts the polymerized organic modified silicate to an inorganic material.
  • As the UV curing is preferably conducted through a mold that is UV transparent, another object of achieving a robust process for imprinting includes using a UV curable polymerizable fluid that includes an organic modified silicate, a decomposable organic compound, and a fluorosurfactant to improve the release of the cured composition from the imprint-molding tool.
  • Other objects of the invention are achieved by using a process that includes the steps of providing a composition that includes a UV curable organic modified silicate, a decomposable organic compound and a solvent; then spin coating the composition on a substrate, removing the solvent, imprinting a circuit pattern in the remaining composition, UV curing the remaining composition, heating the composition to condense the organic modified silicate and decompose the decomposable polymer to form a porous patterned dielectric layer, and depositing metal conductors within the patterns formed in the porous dielectric material.
  • The above and other objects, effects, features, and advantages of the present invention will become more apparent from the following description of the embodiments thereof taken in conjunction with the accompanying drawings.
  • BRIEF DESCRIPTION OF DRAWINGS
  • FIG. 1 is schematic sectional elevation showing the mold with respect to the substrate, as the first step in the process of imprinting a low dielectric constant material.
  • FIG. 2 is schematic sectional elevation showing the disposition of the polymerizable fluid between the mold and the substrate, as the next step in the process of imprinting a low dielectric constant material.
  • FIG. 3 is schematic sectional elevation showing the polymerized fluid disposed on the substrate after removal of the mold in a subsequent step in the process of imprinting a low dielectric constant material.
  • FIG. 4 is schematic sectional elevation showing the polymerized material disposed on the substrate after conversion to a porous dielectric material in a subsequent step in the process of imprinting a low dielectric constant material.
  • FIG. 5 is schematic sectional elevation showing the conductive material deposited over the porous dielectric material in a subsequent step in the process of forming a circuit.
  • FIG. 6 is schematic sectional elevation showing the circuit formed by planarizing the conductive material deposited in the previous step.
  • FIG. 7 is schematic illustration of alternative methods for creating a variety of organically modified silicates that are optionally used to form the polymerizable fluid.
  • FIG. 8 is schematic illustration of the chemical reactions that occur during the polymerization of the fluid and the subsequent conversion to a porous dielectric material in FIGS. 2, 3 and 4.
  • DETAILED DESCRIPTION
  • Referring to FIGS. 1 through 8, wherein like reference numerals refer to like components in the various views, there is illustrated therein a new and improved circuit having a low dielectric constant, generally denominated 100 herein.
  • Methods of imprinting to form a relief pattern are taught in U.S. Pat. No. 6,334,960, to Wilson, et al., which issued on Jan. 1, 2002, the disclosure of which is incorporated herein by reference.
  • In the instant invention, the polymerizable material is a modified silicate having organic functional groups that upon exposure to actinic radiation cross-link or react to form a non-fluid material replicating the shape of the mold. The cured or polymerized organic modified silicate is subsequently, after removal of the mold, converted to an inorganic silicate upon thermal decomposition of the organic functional groups therein. The polymerizable material also contains one or more components, which upon decomposition form pores or voids in the inorganic silicate. As shown in further detail in FIGS. 7 and 8, the pore forming material may be a separate component mixed, dissolved or dispersed on the polymerizable materials, or can also be chemically bonding to the organic modified silicate. After conversion of the polymerizable fluid to a solid that replicates the mold, one or more steps are used to decompose the organic groups bound to the silicate and the pore forming material, as well as to substantially complete the conversion to an inorganic silicate wherein water is condensed from the remaining hydroxyl group bound to silicon forming Si—O—Si linkages. The process is carried out in a manner such that the silicate network forms around the decomposing pore forming material, leaving nanometer scale voids or pores behind. The polymerizable fluid composition may also comprise a diluent, and other materials employed in polymerizable fluids such as, but not limited, to catalysts and photo initiators, as will be further described below with respect to preferred embodiments.
  • The mold used in the methods of the invention may be formed from various conventional materials, such as, but not limited to, quartz, silicon, organic polymers, siloxane polymers, borosilicate glass, fluorocarbon polymers, metal, and combinations of the above. Preferably, the material is selected such that the mold is UV transparent, which allows the polymerizable fluid composition covered by the mold to be exposed to an external radiation source. Thus, quartz molds are most preferred. To facilitate release of the mold from the solid polymeric material, the mold may be treated with a surface modifying agent. Surface modifying agents that may be employed include those that are known in the art. An example of a surface modifying agent is a fluorocarbon silylating agent. These surface modifying agents or release materials may be applied, for example, from plasma sources, a Chemical Vapor Deposition method (CVD) such as analogs of the Parylene deposition process, or a treatment involving deposition from a solution.
  • The methods of the invention will now be described in detail to the accompanying drawing in which a preferred embodiment of the invention is shown. FIG. 1 illustrates the first step in the step-by-step sequence for carrying out the method of the invention, which is depositing a low-k dielectric material and circuit pattern on a substrate 10. As shown in FIG. 1, a UV transparent mold 30 is brought into proximity with substrate 10 such that gap 40 is formed between the bottom surface 31 of mold 30 and substrate 10. Mold 30 has a nanoscale relief structure formed therein having an aspect ratio preferably ranging from about 0.1 to about 10, and more preferably from about 0.5 to about 2. Specifically, the relief structures in the mold typically consist of a plurality of protrusions 41, each pair of which defines a recession 43 therebetween. An exemplary width W1 and depth d1 of protrusions 41 and recessions 43 may be from about 10 nm to about 5,000 microns. However, it should be understood that projections 41 and recessions 43 may correspond to virtually any feature.
  • Referring to FIG. 2, the polymerizable fluid composition preferably has a low viscosity such that it may fill recessions 43 in an efficient manner to form a contiguous layer of composition 50 over substrate 10. For example, the viscosity of composition 50 may range from about 0.01 centipoises (cps) to about 100 cps measured at 25° C. and more preferably from about 0.01 cps to about 5 cps measured at this temperature. An exemplary technique for depositing composition 50 employs depositing one or more spaced-apart discrete droplets droplets (not shown) of composition 50 on substrate 10. Typically the droplets (not shown) are arranged in a pattern that minimizes trapping of gases when the droplets (not shown) of composition 50 merge to form a contiguous layer over substrate 10 by interaction with mold 30, e.g., mechanical contact, electrical contact and the like. In an exemplary embodiment droplets (not shown) of composition 50 are disposed on substrate 10. Contact between mold 30 and the droplets (not shown) is effectuated. In response, composition 50 forms a contiguous layer over substrate 10. It may be desired to purge the region of substrate 10, for example with Helium gas flowed at 5 pounds per square inch (psi), defined between mold 30 and both substrate 10 and droplets (not shown) before contact between mold 30 and composition 50 occurs. An exemplary purging technique is disclosed in U.S. patent application Ser. No. 10/677,639 filed Oct. 2, 2003, entitled SINGLE PHASE FLUID IMPRINT LITHOGRAPHY METHOD, which is incorporated by reference herein.
  • Alternatively, the polymerizable fluid can be first deposited as a substantially uniform fluid layer on substrate 10 employing, for example, spin-coating techniques. Thereafter mold 30 is brought to the same proximity as shown in FIG. 2. In such cases, the fluid need not have such a low viscosity, but the viscosity of the fluid should be less than about 200,000 cps.
  • Further, to the extent that it is otherwise preferable to use different cross-linkable organic polysilicates having higher molecular weight than the preferred oligomers, as described below, the mixture may contain a solvent as an inert diluent. The solvent may be selected to dissolve a particular pore forming material as well as a fluorosurfactant (described in the more preferred embodiments below) or simply to lower the viscosity to a level low enough for spin coating on a planar substrate. After spin coating, the solvent is removed by vacuum or thermal evaporation, for example at about 100° C. for about 1 min. The then solvent free, planarized fluid can be directly imprinted by contacting the mold thereto.
  • Suitable substrates for the device of the present invention comprise silicon, silicon dioxide, glass, silicon nitride, ceramics, aluminum, copper and gallium arsenide. Other suitable substrates will be known to those skilled in the art. In a multilayer integrated circuit device, an underlying layer of insulated, planarized circuit lines can also function as a substrate.
  • Referring now to FIG. 2, the polymerizable fluid composition 50 is then exposed to conditions sufficient to polymerize the fluid. Preferably, the polymerizable fluid composition 50 is exposed to radiation sufficient to polymerize the fluid composition and form a solidified polymeric material represented by 60 in FIG. 3. More specifically, the polymerizable fluid composition is exposed in to ultraviolet light, although other means for polymerizing the fluid may be employed such as, for example, heat or other forms of radiation. It should be understood that in some applications it might be desirable to use UV transparent substrates, in which case the exposure can be through the substrate, the use of a mold that is opaque UV light.
  • The selection of a method of initiating the polymerization of the fluid composition is known to one skilled in the art, and typically depends on the specific application that is desired. Generally speaking, organic modified polysilica is an oligomeric or polymeric compound comprising silicon, carbon, oxygen and hydrogen atoms. The polymerizable (or crosslinkable) materials that may be used in the methods of the invention may include various silicon-containing materials that are often present themselves in the form of polymers or oligomers. Suitable organic polysilica include (i) silsesquioxanes (ii) partially condensed alkoxysilanes (e.g., partially condensed by controlled hydrolysis tetraethoxysilane having a number average molecular weight of about 500 to 20,000); (iii) organically modified silicates having the composition RSiO3 and R2SiO2 wherein R is an organic substituent and (iv) partially condensed orthosilicates having the composition SiOR4. Silsesquioxanes are polymeric silicate materials of the type RSiO1.5 where R is an organic constituent. The silicon-containing materials preferably contains the element silicon in an amount greater than about 10 percent based on the weight of the polymerizable fluid composition, and more preferably greater than about 20 weight percent.
  • The silicon-containing polymerizable material also includes one or more pendant functional from a variety that includes, as non-limiting examples, epoxy groups, ketone groups, acetyl groups, vinyl groups, acrylate groups, methacrylate groups, and combinations of the above. Although not wishing to be bound by any theory, it is believed that suitable polymerizable fluid compositions may react according to a variety of reaction mechanisms such as, but not limited to, acid catalysis, free radical polymerization, cationic polymerization, or 2+2 photocycloaddition, and the like.
  • The most preferable forms of organic polysilica are of relatively low molecular weight, but predominantly have two or more pendent and reactive functional group per molecule. Such organically modified silicates are available under the trade name “ORMOCER” type resins are available from Micro Resist Technology GmbH (Berlin, Germany). Typically, these materials are formed through the controlled hydrolysis and condensation of organically modified silanes, particularly alkyltrialkoxysilanes, such as the mixture of molecules 710, 720, 730 and 740 as is illustrated in FIG. 7. As a non-limiting example for species 720 R—Si (OX)3, a traditional alkoxide precursor, X may represent, for example, CH3, CH2 H5, CH3 H7, and CH4H9. R may be any organic fragment such as methyl, ethyl, propyl, butyl, isopropyl, aryl, phenyl, as well as alkoxy (in which R is —(OX)). In molecule 730 W is preferably aryl or phenyl. The proportions of molecules of the type 710, 720, 730 and 740 may be modified to affect the molecular weight, extent of cross-linking and glass transition temperature of the potential resultant product species. A significant portion of the trialkoxysilane more preferably has a reactive functional group, as in species 710, where R now terminates in a methacrylate group. Alternatively, R can terminate in an epoxide group, as for example species 740. It should be appreciated that R can also terminate in methacrylate, acrylate, vinyl, epoxide, and the like to provide a cross-linkable functionally that is activated with UV light and the appropriate photo initiator and/or catalyst. For either of 710 and 740, Z in is optionally H, CH3, CH2 H5, CH3 H7, C4 H9 or a pore forming material P2 or P3. As used herein, the term “ORMOCER” encompasses the foregoing materials as well as other organically modified ceramics, sometimes referred the trade names ORMACORE and ORMACLAD. It should be noted that for some portion of the composition Si can alternatively be Ti, Zr, or Al to the extent it is desirable to produce a mixed metal oxide material to provide other properties than a lower dielectric constant.
  • Upon the condensation reaction 700, the aforementioned trialkoxysilane reactants form various types of cross-linked networks with one or more reactive functional groups. Thus, upon the initial condensation reaction —OX groups are eliminated such that a Si—O— bonded network is formed having the generic structure illustrated as 750. The silicate portion of the network 750 is illustrated schematically as an oval for the other species formed in condensation reaction 700. Depending on the exact composition and ratios of the initial reactants, polycondensation reaction 700 produces a variety of species having one of more methacrylate, acrylate, vinyl, epoxide, and the like pendent function groups capable of cross-linking with each other either thermally or on exposure to actinic radiation with a suitable photo initiator and/or catalyst. When R or Z is alternatively a porogen, designated P2 or P3 wherein the above the condensation reaction bonds the porogen pendent groups to the Si—O— bonded network 750, as 755. P3 is intended to encompass structures and molecules having an additional pendent methacrylate, acrylate, vinyl, epoxide, and the like pendent function groups capable of cross-linking. P2 and P3 thus can be oligomeric or polymeric, to vary or optimize the pore size and distribution. For example, trimethoxysilyl norbornene (TMSNB) and triethoxysilyl norbornene (TESNB) polymers (Promerus, Brecksville, Ohio) have been used as such chemically bonded porogens as described by Padovani, et al in “Chemically Bonded Porogens in Methylsilsesquioxane, I. Structure and Bonding, Journal of the Electrochemical Society, 149 (12) F161-F170 (2002).), which is incorporated herein by references. Alternatively, P1 or P2 can be poly (caprolactone) or other polyols of various molecular weights with polyhydroxyl terminated or branched hydroxyl terminated species preferred to minimize the viscosity of the polymerizable fluid.
  • Thus, reaction 700 result in, among others, species 741, which has a Si—O— bonded network 750 with an epoxide pendent group, whereas other products include species 744 has a Si—O— bonded network 750 with a methacrylate pendent group. In contrast, as an alternative species 742 has Si—O— bonded network 750 with both an epoxide and a methacrylate pendent group, while species 743 has Si—O— bonded network 750 with two methacrylate pendent groups. Another product of reaction 700 is species 755 has Si—O— bonded network 750 with an epoxide, methacrylate and pore forming pendent group, P2. In species 760 the Si—O— bonded network 750 has pendent epoxide and methacrylate groups as well as the pore forming pendent group, P3, with P3 having the third pendent reactive group, that is methacrylate, bonded or pendent from it.
  • FIG. 8 illustrates the chemical reactions that occur during the polymerization of the fluid 810 or 815 and the subsequent conversion to a porous dielectric material 835 or 840. Starting with result of reaction 700 provides substantial number of compounds comprising a Si—O— bonded network 750 with two or pendent reactive groups suitable as the polymerizable fluid. It should be understood that the porogen, P1, could be present as a simple mixture that is either phase separated or dissolved in the polymerizable fluid. If the porogen is phase separated, it should be a stable emulsion with a particle size on the scale of 3 to 50 nm. The mixture can include other species, such as 841, a Si—O— bonded network 750 with an epoxide and two methacrylate pendent groups. In an alternative species 860, two methacrylate groups are pendent from the Si—O— bonded network 750, as well as a porogen group P3 having an epoxide group pendent from it. In species 861, an epoxide group, methacrylate groups and porogen are pendent from the Si—O— bonded network 750. Thus, the polymerizable fluid includes the Si—O— bonded network 750 with pendent reactive groups and a porogen material, bonded, dissolved or dispersed in the fluid.
  • Preferably, the subsequent polymerization step 815, wherein the fluid is exposed to actinic radiation with the mold in place, results in the solid cross-linked resin 880. Thus, if the mixture contains epoxide groups it is preferable to include a photo initiator that creates an acid such that the complete curing of a cross-linked network can be accomplished in a single step, such that the mold can be rapidly removed and used to imprint other devices or portions of a substrate.
  • Alternatively, depending on the photo initiator, the subsequent cross-linking reaction 810 may initially occur via the methacrylate groups. This may be preferable if one wishes to increase the viscosity or provide a partially cross-linked the organic silicate precursors prior to a final thermal cure process 820, which would cross-link any remaining epoxy groups, also forming a solid material having a three dimension cross-linked network 880. When epoxide group are present after the initial exposure to actinic radiation, the curing can be accomplished in multiple steps, using what is termed a soft bake at between 80 to 120° C. for 5 min. or less, followed by a higher temperature cure at between about 120 to 240° C., for up to about 3 hrs.
  • The final step to decompose the organic modified silicate, to form porous silicate 70 in FIG. 4, preferably occurs under conditions that heat the material to a temperature of about 425-450° C. for about 1 hour under nitrogen. However, the decomposition process conditions can also be carried out in stages, depending on the differential temperature dependence of the decomposition rates of the porogen as compared to the organic modified silicate. However, whether the organic decomposition and elimination of the porogen is a one-step process 835 leading to final porous dielectric material 890, or take place in two steps, fundamentally the same reaction chemistry occurs. That is in step 830 as organic groups pendent on the silicon are decomposed. The organic modified silicate contains some hydroxyl groups resulted from the partial polycondensation reaction 700. The hydroxyl groups are represented in FIG. 8 by Si—OH. In the final step 840 at a higher temperature, water is condensed from adjacent Si—OH groups forming a substantially inorganic silica network. Simultaneously, the porogen P1, P2 or P3 materials that are phase segregated decompose forming nanometer scale pore, preferably having a diameter of about 3 to 30 nm or about one tenth of the feature size W1 and d1.
  • Referring back to FIG. 3, upon completion of the curing or polymerization processes 815 or 810/820 described above, the mold 30 is removed to leave the solidified polymeric material 60 deposited on the substrate 10. The patterned organic silicate coating has grooves 61 surrounded by plateaus 62. As shown by FIG. 4 following either of reactions 835 or 840 the resultant patterned polymeric material 60 is converted to a substantially inorganic porous dielectric coating 70, that still includes groove 71 surrounded by plateaus 72, with a uniform dispersion of pores 41.
  • Another feature of the present invention is forming the dielectric material, which is positioned over the circuit lines and/or between the circuit lines and on the substrate. In multilevel integrated circuit devices, the dielectric material is often planarized to function as a substrate for lithographic formation of the next layer of circuit lines. The dielectric material comprises porous organic polysilicate.
  • Referring to FIG. 5, in the next step of the process for forming the integrated circuit of the present invention, a metallic film 80 is deposited onto the patterned dielectric layer 70. Preferred metallic materials is selected to provide suitable circuit lines and thus comprises a metallic, electrically conductive, material such as include copper, tungsten, aluminum, silicides, gold, silver, or alloys thereof, and the like. The metal is suitably deposited onto the patterned dielectric layer by art known techniques such as chemical vapor deposition (CVD), plasma enhanced CVD, electro and electroless deposition, sputtering or the like. Optionally, the circuit lines may be coated with a metallic liner such as a layer of nickel, tantalum or chromium or other layers such barrier or adhesion layers (e.g., SiN, TiN).
  • Referring to FIG. 6, the last step of the process involves removal of excess metallic material (e.g., planarizing the metallic film 80) so that the top of the metal filled grooves 91 are generally level with the top of the patterned dielectric layer 72, resulting in integrated circuit device 100. Device 100 generally comprises substrate 10, metallic circuit lines 90 and dielectric material 70. Planarization can be accomplished using chemical/mechanical polishing or selective wet or dry etching. Suitable chemical/mechanical polishing techniques will be known to those skilled in the art. In device 100, the interconnected circuit lines 90 function to distribute electrical signals in the device and to provide power input to and signal output from the device. Suitable integrated circuit devices will generally comprise multiple layers of circuit lines, which are interconnected by vertical metallic studs (not shown in the figure).
  • In the more preferred embodiments, the polymerizable composition also includes a fluorosurfactant to improve the release properties and performance life of the imprint mold or tool. A presently preferred fluorosurfactant a non-ionic polymeric fluorochemical surfactant sold under the trade name NOVEC FC-4432 by 3M Performance Materials Division (St. Paul, Minn.) Fluorosurfactant. An alternative fluorosurfacants include ZONYL FSO-100, available from DuPont Corporation (Wilmington, Del.)
  • In a preferred polymerizable fluid composition, percentage or fraction decomposable polymer (porogen) to Si— is selected to produce a pore volume from about 10 to 40 volume %, and more preferably 20 to 30%, depending on the desired dielectric constant and the ultimate mechanical strength and durability required of the dielectric layer, it being understood that even for nanoscale pores, increasing the total porosity decreases the strength and durability. The porogen component preferably comprises from about 10 to 50 weight percent of the composition. Additionally it is preferable if the organic modified silicate comprises at least about 10 weight percent silicon. More preferably, the organic modified silicate has a molecular weight of less than about 50,000. Under such conditions, the polymerizable fluid composition preferably has viscosity of less than about 200,000 cps.
  • EXAMPLE 1
  • As a theoretical example of a preferred composition for the polymerizable fluid of the instant invention, 79.5 g ORMOCER b59 UV curable organic modified silicate, 20 g TONE 0301 as the porogen and 0.5 g FC4432 of fluorosurfactant are mixed together. As ORMOCER b59 is available from the manufacturer premixed with the appropriate photo initiator the above composition can be used for imprint molding as described above when exposed to UV radiation of a wavelength that includes 365 nm. “TONE” 0310 is a poly(caprolactone) polyol (CAS Reg. No. 37625-56-2) having a relatively low-melting point and is tri-functional (3 —OH groups per molecule) with a number average molecular weight of about 900, and a hydroxyl number (mg KOH/g) of 187.0, being available from the Dow Chemical Company (Midland, Mich.). Other polycaprolactones deemed suitable without undue experimentation include CAPA 3031, which is available from Solvay Caprolactones (Warrington, Cheshire, United Kingdom).
  • It is expected that the inventive process is susceptible to achieving the smallest pore sizes, as the presence of the micro relief of the mold prior to the pore generation process minimizes the tendency for the nucleation and growth of larger pores.
  • It should be appreciated that one skilled in the art may select the substrate, mold, polymerizable fluid composition, surface modifying agent, as well as any other materials such that the method of the invention optimally functions according to the specific needs of the end user.
  • While the invention has been described in connection with a preferred embodiment, it is not intended to limit the scope of the invention to the particular form set forth, but on the contrary, it is intended to cover such alternatives, modifications, and equivalents as may be within the spirit and scope of the invention as defined by the appended claims.

Claims (13)

1-7. (canceled)
8. A composition of matter that comprises:
a) a UV curable organic modified silicate, and
b) a decomposable organic compound,
c) wherein a viscosity of said compound is less than about 200,000 cps.
9. A composition of matter according to claim 8 that further comprises a fluorosurfactant.
10. A composition of matter according to claim 9 wherein said decomposable organic compound is a polycaprolactone.
11. A composition of matter according to claim 9 wherein said decomposable organic compound is chemically bounded to said UV curable organic modified silicate.
12. A composition of matter that comprises:
a) a UV curable organic modified silicate,
b) a decomposable organic compound,
c) a fluorosurfactant, and
d) a solvent for said UV curable organic silicate compound, said decomposable organic compound and said fluorosurfactant.
13. A composition of matter according to claim 12 wherein said decomposable organic compound is a polycaprolactone.
14. A composition of matter according to claim 12 wherein said decomposable organic compound is chemically bounded to said UV curable organic modified silicate
15. A composition of matter according to claim 12 wherein said decomposable organic compound comprises from about 10 to 50 weight percent of said composition.
16. A composition of matter according to claim 12 wherein said organic modified silicate has a molecular weight of less than about 50,000 Dalton.
17. A composition of matter according to claim 12 having a viscosity of less than about 200,000 cPs.
18. A composition of matter according to claim 12 wherein said organic modified silicate comprises at least about 10 weight percent silicon.
19-20. (canceled)
US10/967,740 2004-10-18 2004-10-18 Low-k dielectric functional imprinting materials Abandoned US20060081557A1 (en)

Priority Applications (7)

Application Number Priority Date Filing Date Title
US10/967,740 US20060081557A1 (en) 2004-10-18 2004-10-18 Low-k dielectric functional imprinting materials
PCT/US2005/037063 WO2006044690A2 (en) 2004-10-18 2005-10-14 Low-k dielectric functional imprinting materials
KR1020077008751A KR20070083711A (en) 2004-10-18 2005-10-14 Low-k dielectric functional imprinting materials
EP05813005A EP1805559A2 (en) 2004-10-18 2005-10-14 Low-k dielectric functional imprinting materials
JP2007537939A JP2008517480A (en) 2004-10-18 2005-10-14 Low-K dielectric functional imprinting material
TW094136175A TW200621895A (en) 2004-10-18 2005-10-17 Low-k dielectric functional imprinting materials
US13/172,350 US8889332B2 (en) 2004-10-18 2011-06-29 Low-K dielectric functional imprinting materials

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/967,740 US20060081557A1 (en) 2004-10-18 2004-10-18 Low-k dielectric functional imprinting materials

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/172,350 Continuation US8889332B2 (en) 2004-10-18 2011-06-29 Low-K dielectric functional imprinting materials

Publications (1)

Publication Number Publication Date
US20060081557A1 true US20060081557A1 (en) 2006-04-20

Family

ID=36179629

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/967,740 Abandoned US20060081557A1 (en) 2004-10-18 2004-10-18 Low-k dielectric functional imprinting materials
US13/172,350 Active 2026-01-22 US8889332B2 (en) 2004-10-18 2011-06-29 Low-K dielectric functional imprinting materials

Family Applications After (1)

Application Number Title Priority Date Filing Date
US13/172,350 Active 2026-01-22 US8889332B2 (en) 2004-10-18 2011-06-29 Low-K dielectric functional imprinting materials

Country Status (6)

Country Link
US (2) US20060081557A1 (en)
EP (1) EP1805559A2 (en)
JP (1) JP2008517480A (en)
KR (1) KR20070083711A (en)
TW (1) TW200621895A (en)
WO (1) WO2006044690A2 (en)

Cited By (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040058090A1 (en) * 2001-09-14 2004-03-25 Carlo Waldfried Low temperature UV pretreating of porous low-k materials
US20060035029A1 (en) * 2004-08-16 2006-02-16 Molecular Imprints, Inc. Method to provide a layer with uniform etch characteristics
US20060111454A1 (en) * 2004-11-24 2006-05-25 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US20060196375A1 (en) * 2004-10-22 2006-09-07 Seth Coe-Sullivan Method and system for transferring a patterned material
US20070021520A1 (en) * 2005-07-22 2007-01-25 Molecular Imprints, Inc. Composition for adhering materials together
US20070017631A1 (en) * 2005-07-22 2007-01-25 Molecular Imprints, Inc. Method for adhering materials together
US20070141271A1 (en) * 2004-09-23 2007-06-21 Molecular Imprints, Inc. Method for controlling distribution of fluid components on a body
US20080081154A1 (en) * 2006-10-03 2008-04-03 Seiko Epson Corporation Element substrate and method of manufacturing the same
US20080113283A1 (en) * 2006-04-28 2008-05-15 Polyset Company, Inc. Siloxane epoxy polymers for redistribution layer applications
US20080110557A1 (en) * 2006-11-15 2008-05-15 Molecular Imprints, Inc. Methods and Compositions for Providing Preferential Adhesion and Release of Adjacent Surfaces
WO2008127835A1 (en) * 2007-04-12 2008-10-23 Molecular Imprints, Inc. Method for imprint lithography utilizing an adhesion primer layer
US20080261026A1 (en) * 2006-10-03 2008-10-23 Seiko Epson Corporation Element substrate and method of manufacturing the same
US20080308971A1 (en) * 2007-06-18 2008-12-18 Molecular Imprints, Inc. Solvent-Assisted Layer Formation for Imprint Lithography
US20090098688A1 (en) * 2007-03-16 2009-04-16 Canon Kabushiki Kaisha Imprint method, chip production process, and imprint apparatus
WO2009067241A1 (en) * 2007-11-21 2009-05-28 Molecular Imprints, Inc. Porous template and imprinting stack for nano-imprint lithography
US20090155583A1 (en) * 2005-07-22 2009-06-18 Molecular Imprints, Inc. Ultra-thin Polymeric Adhesion Layer
US20090215209A1 (en) * 2006-04-14 2009-08-27 Anc Maria J Methods of depositing material, methods of making a device, and systems and articles for use in depositing material
US20090215208A1 (en) * 2006-04-07 2009-08-27 Seth Coe-Sullivan Composition including material, methods of depositing material, articles including same and systems for depositing material
US20090283742A1 (en) * 2006-06-24 2009-11-19 Seth Coe-Sullivan Methods and articles including nanomaterial
US20100055611A1 (en) * 2008-09-01 2010-03-04 Bo-Sung Kim Resist composition and method for forming a pattern using the same
US20100075108A1 (en) * 2006-11-01 2010-03-25 Koninklijke Phillips Electronics N.V. Relief layer and imprint method for making the same
US20100072671A1 (en) * 2008-09-25 2010-03-25 Molecular Imprints, Inc. Nano-imprint lithography template fabrication and treatment
US20100084376A1 (en) * 2008-10-02 2010-04-08 Molecular Imprints, Inc. Nano-imprint lithography templates
US20100104852A1 (en) * 2008-10-23 2010-04-29 Molecular Imprints, Inc. Fabrication of High-Throughput Nano-Imprint Lithography Templates
US20100102469A1 (en) * 2008-10-24 2010-04-29 Molecular Imprints, Inc. Strain and Kinetics Control During Separation Phase of Imprint Process
US20100109195A1 (en) * 2008-11-05 2010-05-06 Molecular Imprints, Inc. Release agent partition control in imprint lithography
US20100112236A1 (en) * 2008-10-30 2010-05-06 Molecular Imprints, Inc. Facilitating Adhesion Between Substrate and Patterned Layer
KR100991354B1 (en) * 2007-08-13 2010-11-02 주식회사 네패스 The insulator Coating Solution Using semiconductor and fabricating method thereof
US7837921B2 (en) 2004-01-23 2010-11-23 Molecular Imprints, Inc. Method of providing desirable wetting and release characteristics between a mold and a polymerizable composition
US20110165412A1 (en) * 2009-11-24 2011-07-07 Molecular Imprints, Inc. Adhesion layers in nanoimprint lithograhy
US20110183027A1 (en) * 2010-01-26 2011-07-28 Molecular Imprints, Inc. Micro-Conformal Templates for Nanoimprint Lithography
US20110189329A1 (en) * 2010-01-29 2011-08-04 Molecular Imprints, Inc. Ultra-Compliant Nanoimprint Lithography Template
US20110215503A1 (en) * 2004-11-24 2011-09-08 Molecular Imprints, Inc. Reducing Adhesion between a Conformable Region and a Mold
US8142703B2 (en) 2005-10-05 2012-03-27 Molecular Imprints, Inc. Imprint lithography method
TWI409583B (en) * 2007-12-03 2013-09-21 Molecular Imprints Inc Porous template and imprinting stack for nano-imprint lithography
US8889332B2 (en) 2004-10-18 2014-11-18 Canon Nanotechnologies, Inc. Low-K dielectric functional imprinting materials
US20150155339A1 (en) * 2013-11-29 2015-06-04 Tsinghua University Method of making organic light emitting diode array
US20160187774A1 (en) * 2013-09-18 2016-06-30 Canon Kabushiki Kaisha Method of producing film, method of producing optical component, method of producing circuit board, method of producing electronic component, and photocurable composition

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090075491A1 (en) * 2007-09-13 2009-03-19 Tokyo Electron Limited Method for curing a dielectric film
CN101960556B (en) * 2008-03-06 2013-09-18 东京毅力科创株式会社 Method for curing a porous low dielectric constant dielectric film
EP2307928A2 (en) * 2008-08-05 2011-04-13 Smoltek AB High aspect ratio template for lithography, method of making the same template and use of the template for perforating a substrate at nanoscale
US9904165B2 (en) * 2009-08-04 2018-02-27 Agency For Science, Technology And Research Method of reducing the dimension of an imprint structure on a substrate
JP5722445B2 (en) * 2010-08-16 2015-05-20 エーエスエムエル ネザーランズ ビー.ブイ. Inspection method for imprint lithography and apparatus therefor
JP5882922B2 (en) * 2012-01-19 2016-03-09 キヤノン株式会社 Imprint method and imprint apparatus
JP6071255B2 (en) * 2012-06-04 2017-02-01 キヤノン株式会社 Photocured material
US20170235003A1 (en) 2016-02-12 2017-08-17 Cgg Services Sas Seismic data acquisition for compressive sensing reconstruction
TWI742875B (en) * 2020-10-12 2021-10-11 艾姆勒車電股份有限公司 Polymer composite substrate having metal circuit and method of manufacturing the same
US11310916B1 (en) 2020-12-23 2022-04-19 Amulaire Thermal Technology, Inc. Metal circuit on polymer composite substrate surface and method for manufacturing the same

Citations (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3810874A (en) * 1969-03-10 1974-05-14 Minnesota Mining & Mfg Polymers prepared from poly(perfluoro-alkylene oxide) compounds
US3919351A (en) * 1973-08-29 1975-11-11 Ppg Industries Inc Composition useful in making extensible films
US4271258A (en) * 1980-06-11 1981-06-02 Tamura Kaken Co., Ltd. Photopolymerizable ink compositions
US4512848A (en) * 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4514439A (en) * 1983-09-16 1985-04-30 Rohm And Haas Company Dust cover
US4517337A (en) * 1984-02-24 1985-05-14 General Electric Company Room temperature vulcanizable organopolysiloxane compositions and method for making
US4614667A (en) * 1984-05-21 1986-09-30 Minnesota Mining And Manufacturing Company Composite low surface energy liner of perfluoropolyether
US4617238A (en) * 1982-04-01 1986-10-14 General Electric Company Vinyloxy-functional organopolysiloxane compositions
US4731155A (en) * 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4826943A (en) * 1986-07-25 1989-05-02 Oki Electric Industry Co., Ltd. Negative resist material
US4931351A (en) * 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US4988274A (en) * 1987-12-21 1991-01-29 Dresser Industries, Inc. Method and apparatus for producing an optical element
US5028366A (en) * 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
US5028511A (en) * 1989-05-30 1991-07-02 E. I. Du Pont De Nemours And Company Process for preparing a precolored image using photosensitive reproduction element containing a photorelease layer
US5206983A (en) * 1991-06-24 1993-05-04 Wisconsin Alumni Research Foundation Method of manufacturing micromechanical devices
US5298556A (en) * 1992-07-21 1994-03-29 Tse Industries, Inc. Mold release composition and method coating a mold core
US5331020A (en) * 1991-11-14 1994-07-19 Dow Corning Limited Organosilicon compounds and compositions containing them
US5369722A (en) * 1991-09-18 1994-11-29 Schott Glaswerke Optical inorganic waveguide with a substantially planar organic substrate
US5395954A (en) * 1992-07-20 1995-03-07 Societe Des Ceramiques Techniques Organic-inorganic polycondensate and a method of obtaining the same
US5425848A (en) * 1993-03-16 1995-06-20 U.S. Philips Corporation Method of providing a patterned relief of cured photoresist on a flat substrate surface and device for carrying out such a method
US5542978A (en) * 1994-06-10 1996-08-06 Johnson & Johnson Vision Products, Inc. Apparatus for applying a surfactant to mold surfaces
US5594042A (en) * 1993-05-18 1997-01-14 Dow Corning Corporation Radiation curable compositions containing vinyl ether functional polyorganosiloxanes
US5601641A (en) * 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
US5629095A (en) * 1993-05-18 1997-05-13 Dow Corning Corporation Radiation curable compositions containing vinyl ether functional polysiloxanes and methods for the preparation
US5669303A (en) * 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US5753781A (en) * 1990-02-23 1998-05-19 Minnesota Mining And Manufacturing Company Blended polycaprolactone thermoplastic molding composition
US5772905A (en) * 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US5837314A (en) * 1994-06-10 1998-11-17 Johnson & Johnson Vision Products, Inc. Method and apparatus for applying a surfactant to mold surfaces
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US5905104A (en) * 1995-12-04 1999-05-18 H. B. Fuller Licensing & Financing, Inc. Heat resistant powder coating composition
US5928767A (en) * 1995-06-07 1999-07-27 Dexter Corporation Conductive film composite
US6114404A (en) * 1998-03-23 2000-09-05 Corning Incorporated Radiation curable ink compositions and flat panel color filters made using same
US6117708A (en) * 1998-02-05 2000-09-12 Micron Technology, Inc. Use of residual organic compounds to facilitate gate break on a carrier substrate for a semiconductor device
US6132632A (en) * 1997-09-11 2000-10-17 International Business Machines Corporation Method and apparatus for achieving etch rate uniformity in a reactive ion etcher
US6174931B1 (en) * 1991-02-28 2001-01-16 3M Innovative Properties Company Multi-stage irradiation process for production of acrylic based compositions and compositions made thereby
US6190929B1 (en) * 1999-07-23 2001-02-20 Micron Technology, Inc. Methods of forming semiconductor devices and methods of forming field emission displays
US6200736B1 (en) * 1998-04-15 2001-03-13 Etec Systems, Inc. Photoresist developer and method
US6204343B1 (en) * 1996-12-11 2001-03-20 3M Innovative Properties Company Room temperature curable resin
US6309580B1 (en) * 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6342097B1 (en) * 1999-04-23 2002-01-29 Sdc Coatings, Inc. Composition for providing an abrasion resistant coating on a substrate with a matched refractive index and controlled tintability
US6344105B1 (en) * 1999-06-30 2002-02-05 Lam Research Corporation Techniques for improving etch rate uniformity
US20020042027A1 (en) * 1998-10-09 2002-04-11 Chou Stephen Y. Microscale patterning and articles formed thereby
US6391217B2 (en) * 1999-12-23 2002-05-21 University Of Massachusetts Methods and apparatus for forming submicron patterns on films
US20020132482A1 (en) * 2000-07-18 2002-09-19 Chou Stephen Y. Fluid pressure imprint lithography
US6455411B1 (en) * 2000-09-11 2002-09-24 Texas Instruments Incorporated Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
US6468896B2 (en) * 1998-06-29 2002-10-22 Infineon Technologies Ag Method of fabricating semiconductor components
US6468642B1 (en) * 1995-10-03 2002-10-22 N.V. Bekaert S.A. Fluorine-doped diamond-like coatings
US6503914B1 (en) * 2000-10-23 2003-01-07 Board Of Regents, The University Of Texas System Thienopyrimidine-based inhibitors of the Src family
US6518189B1 (en) * 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US6517995B1 (en) * 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US20030054115A1 (en) * 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
US6544594B2 (en) * 1999-09-10 2003-04-08 Nano-Tex, Llc Water-repellent and soil-resistant finish for textiles
US20030080472A1 (en) * 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method with bonded release layer for molding small patterns
US6565776B1 (en) * 1999-06-11 2003-05-20 Bausch & Lomb Incorporated Lens molds with protective coatings for production of contact lenses and other ophthalmic products
US6580172B2 (en) * 2001-03-02 2003-06-17 Motorola, Inc. Lithographic template and method of formation and use
US6583248B1 (en) * 1997-01-06 2003-06-24 American Dental Association Health Foundation Polymerizable cyclodextrin derivatives
US20040009673A1 (en) * 2002-07-11 2004-01-15 Sreenivasan Sidlgata V. Method and system for imprint lithography using an electric field
US20040007799A1 (en) * 2002-07-11 2004-01-15 Choi Byung Jin Formation of discontinuous films during an imprint lithography process
US20040008334A1 (en) * 2002-07-11 2004-01-15 Sreenivasan Sidlgata V. Step and repeat imprint lithography systems
US20040022888A1 (en) * 2002-08-01 2004-02-05 Sreenivasan Sidlgata V. Alignment systems for imprint lithography
US20040021866A1 (en) * 2002-08-01 2004-02-05 Watts Michael P.C. Scatterometry alignment for imprint lithography
US20040021254A1 (en) * 2002-08-01 2004-02-05 Sreenivasan Sidlgata V. Alignment methods for imprint lithography
US6696220B2 (en) * 2000-10-12 2004-02-24 Board Of Regents, The University Of Texas System Template for room temperature, low pressure micro-and nano-imprint lithography
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US20040046271A1 (en) * 2002-09-05 2004-03-11 Watts Michael P.C. Functional patterning material for imprint lithography processes
US20040046288A1 (en) * 2000-07-18 2004-03-11 Chou Stephen Y. Laset assisted direct imprint lithography
US20040065252A1 (en) * 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method of forming a layer on a substrate to facilitate fabrication of metrology standards
US6721529B2 (en) * 2001-09-21 2004-04-13 Nexpress Solutions Llc Release agent donor member having fluorocarbon thermoplastic random copolymer overcoat
US6737489B2 (en) * 2001-05-21 2004-05-18 3M Innovative Properties Company Polymers containing perfluorovinyl ethers and applications for such polymers
US20040110856A1 (en) * 2002-12-04 2004-06-10 Young Jung Gun Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US20040116548A1 (en) * 2002-12-12 2004-06-17 Molecular Imprints, Inc. Compositions for dark-field polymerization and method of using the same for imprint lithography processes
US20040112862A1 (en) * 2002-12-12 2004-06-17 Molecular Imprints, Inc. Planarization composition and method of patterning a substrate using the same
US20040124566A1 (en) * 2002-07-11 2004-07-01 Sreenivasan Sidlgata V. Step and repeat imprint lithography processes
US20040131718A1 (en) * 2000-07-18 2004-07-08 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US20040137734A1 (en) * 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US6774183B1 (en) * 2000-04-27 2004-08-10 Bostik, Inc. Copolyesters having improved retained adhesion
US20040156108A1 (en) * 2001-10-29 2004-08-12 Chou Stephen Y. Articles comprising nanoscale patterns with reduced edge roughness and methods of making same
US6776094B1 (en) * 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US20040170770A1 (en) * 2003-02-27 2004-09-02 Molecular Imprints, Inc. Method to reduce adhesion between a polymerizable layer and a substrate employing a fluorine-containing layer
US6790905B2 (en) * 2001-10-09 2004-09-14 E. I. Du Pont De Nemours And Company Highly repellent carpet protectants
US20040192041A1 (en) * 2003-03-27 2004-09-30 Jun-Ho Jeong UV nanoimprint lithography process using elementwise embossed stamp and selectively additive pressurization
US20040197843A1 (en) * 2001-07-25 2004-10-07 Chou Stephen Y. Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US6802870B2 (en) * 2001-05-25 2004-10-12 3M Innovative Properties Company Method for imparting soil and stain resistance to carpet
US20040202872A1 (en) * 2002-07-23 2004-10-14 Pennzoil-Quaker State Company Hydrophobic surface treatment composition and method of making and using same
US20050037143A1 (en) * 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US20050051698A1 (en) * 2002-07-08 2005-03-10 Molecular Imprints, Inc. Conforming template for patterning liquids disposed on substrates
US20050084804A1 (en) * 2003-10-16 2005-04-21 Molecular Imprints, Inc. Low surface energy templates
US20050100830A1 (en) * 2003-10-27 2005-05-12 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
US20050118749A1 (en) * 2002-02-19 2005-06-02 Nissan Chemical Industries Composition for forming anti-reflective coating
US7160949B2 (en) * 2000-01-21 2007-01-09 Mitsui Chemicals, Inc. Olefin block copolymers, processes for producing the same and uses thereof

Family Cites Families (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5792550A (en) 1989-10-24 1998-08-11 Flex Products, Inc. Barrier film having high colorless transparency and method
US5102977A (en) 1990-01-18 1992-04-07 Ruco Polymer Corporation Internally catalyzed sulfonate bearing hydroxyl terminated powder coating polyesters
US5366768A (en) 1991-05-09 1994-11-22 Kansai Paint Company, Limited Method of forming coating films
US5182174A (en) 1991-05-13 1993-01-26 E. I. Du Pont De Nemours And Company Flexible etch-resistant finishes with siloxane cross-linking
JPH04366958A (en) 1991-06-14 1992-12-18 Oki Electric Ind Co Ltd Radiation sensitive resin composition
JP2989453B2 (en) 1993-11-30 1999-12-13 三菱鉛筆株式会社 Porous rubber stamp with continuous pores
US6433098B1 (en) * 1994-06-13 2002-08-13 Rohm And Haas Company Process of preparing curable compositions and compositions therefrom
JP3372258B2 (en) 1995-08-04 2003-01-27 インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン Stamps for lithography processes
US6355751B1 (en) * 1996-12-31 2002-03-12 Lucent Technologies Inc. Curable coatings with improved adhesion to glass
DE69826148T2 (en) 1997-12-09 2005-09-22 SBA Materials, Inc., Santa Barbara BLOCK COPOLYMER PROCESSING FOR MESO-STRUCTURED INORGANIC OXIDE MATERIALS
US20020102483A1 (en) 1998-09-15 2002-08-01 Timothy Adams Antireflective coating compositions
AU6295499A (en) 1998-10-08 2000-04-26 Robson T. Young Jr. High-strength collapsible pallet with self-aligning construction
US6435948B1 (en) 2000-10-10 2002-08-20 Beaver Creek Concepts Inc Magnetic finishing apparatus
US6329017B1 (en) 1998-12-23 2001-12-11 Battelle Memorial Institute Mesoporous silica film from a solution containing a surfactant and methods of making same
US6238798B1 (en) 1999-02-22 2001-05-29 3M Innovative Properties Company Ceramer composition and composite comprising free radically curable fluorochemical component
US6873087B1 (en) 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
US6465365B1 (en) 2000-04-07 2002-10-15 Koninklijke Philips Electronics N.V. Method of improving adhesion of cap oxide to nanoporous silica for integrated circuit fabrication
AU2002222968A1 (en) 2000-07-13 2002-01-30 The Regents Of The Universty Of California Silica zeolite low-k dielectric thin films
US6271273B1 (en) * 2000-07-14 2001-08-07 Shipley Company, L.L.C. Porous materials
KR100528950B1 (en) * 2001-01-29 2005-11-16 제이에스알 가부시끼가이샤 Composite Particle for Dielectrics, Ultramicroparticulate Composite Resin Particle, Composition for Forming Dielectrics and Use Thereof
US6660245B1 (en) 2001-02-13 2003-12-09 Novellus Systems, Inc. Methods for detemplating zeolites and silicalites for use in integrated circuit manufacture
US6731857B2 (en) 2001-03-29 2004-05-04 Shipley Company, L.L.C. Photodefinable composition, method of manufacturing an optical waveguide with the photodefinable composition, and optical waveguide formed therefrom
US7141188B2 (en) 2001-05-30 2006-11-28 Honeywell International Inc. Organic compositions
US6483174B1 (en) 2001-08-16 2002-11-19 Jds Uniphase Corporation Apparatus and method for dicing and testing optical devices, including thin film filters
US20030127002A1 (en) 2002-01-04 2003-07-10 Hougham Gareth Geoffrey Multilayer architechture for microcontact printing stamps
TWI339680B (en) 2002-02-19 2011-04-01 Kanto Kagaku Washing liquid composition for semiconductor substrate
US7060330B2 (en) 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US20080160129A1 (en) 2006-05-11 2008-07-03 Molecular Imprints, Inc. Template Having a Varying Thickness to Facilitate Expelling a Gas Positioned Between a Substrate and the Template
US6957608B1 (en) 2002-08-02 2005-10-25 Kovio, Inc. Contact print methods
US6897259B1 (en) 2002-09-25 2005-05-24 Rohm And Haas Company Heat stable wrinkle finish powder coatings
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
JP4170735B2 (en) 2002-11-13 2008-10-22 信越化学工業株式会社 Zeolite sol and manufacturing method thereof, composition for forming porous film, porous film and manufacturing method thereof, interlayer insulating film and semiconductor device
US7018918B2 (en) * 2002-11-21 2006-03-28 Intel Corporation Method of forming a selectively converted inter-layer dielectric using a porogen material
US6790790B1 (en) 2002-11-22 2004-09-14 Advanced Micro Devices, Inc. High modulus filler for low k materials
US6960327B2 (en) 2003-01-30 2005-11-01 The Regents Of The University Of California Methods for removing organic compounds from nano-composite materials
US7507783B2 (en) 2003-02-24 2009-03-24 Brewer Science Inc. Thermally curable middle layer comprising polyhedral oligomeric silsesouioxanes for 193-nm trilayer resist process
US7122079B2 (en) 2004-02-27 2006-10-17 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US7179396B2 (en) 2003-03-25 2007-02-20 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
US7396475B2 (en) 2003-04-25 2008-07-08 Molecular Imprints, Inc. Method of forming stepped structures employing imprint lithography
US7157036B2 (en) 2003-06-17 2007-01-02 Molecular Imprints, Inc Method to reduce adhesion between a conformable region and a pattern of a mold
US7307118B2 (en) 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US7344783B2 (en) 2003-07-09 2008-03-18 Shell Oil Company Durable hydrophobic surface coatings using silicone resins
TWI240648B (en) 2003-09-30 2005-10-01 Univ Nat Central Method for making transparent zeolite film and structure of the zeolite film
US7090716B2 (en) 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
KR100601090B1 (en) 2003-10-14 2006-07-14 주식회사 엘지화학 High surface area electrode prepared by using porous template, and electric device prepared therefrom
US7981441B2 (en) 2004-02-18 2011-07-19 The Board Of Trustees Of The Leland Stanford Junior University Drug delivery systems using mesoporous oxide films
US8076386B2 (en) 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
KR101179063B1 (en) 2004-02-23 2012-09-07 더 보드 오브 리전츠 오브 더 유니버시티 오브 텍사스 시스템 Materials for imprint lithography
US7906180B2 (en) 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
EP1742893B1 (en) 2004-04-27 2012-10-10 The Board Of Trustees Of The University Of Illinois Composite patterning devices for soft lithography
US20080055581A1 (en) 2004-04-27 2008-03-06 Rogers John A Devices and methods for pattern generation by ink lithography
US8025831B2 (en) 2004-05-24 2011-09-27 Agency For Science, Technology And Research Imprinting of supported and free-standing 3-D micro- or nano-structures
US7629272B2 (en) 2004-06-07 2009-12-08 Axcelis Technologies, Inc. Ultraviolet assisted porogen removal and/or curing processes for forming porous low k dielectrics
US7422776B2 (en) 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
JP4893905B2 (en) 2004-08-31 2012-03-07 独立行政法人産業技術総合研究所 Zeolite raw material liquid, zeolite crystal preparation method, zeolite raw material liquid preparation method, and zeolite thin film
US20060081557A1 (en) 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
US7303989B2 (en) 2004-11-22 2007-12-04 Intel Corporation Using zeolites to improve the mechanical strength of low-k interlayer dielectrics
US20060177535A1 (en) 2005-02-04 2006-08-10 Molecular Imprints, Inc. Imprint lithography template to facilitate control of liquid movement
US7365375B2 (en) 2005-03-25 2008-04-29 Intel Corporation Organic-framework zeolite interlayer dielectrics
JP4775561B2 (en) 2005-04-01 2011-09-21 信越化学工業株式会社 Silsesquioxane-based compound mixture, production method thereof, resist composition using the same, and pattern formation method
KR100744068B1 (en) 2005-04-29 2007-07-30 주식회사 하이닉스반도체 Method for fabricating transistor of semiconductor device
US7381659B2 (en) 2005-11-22 2008-06-03 International Business Machines Corporation Method for reducing film stress for SiCOH low-k dielectric materials
US20070123059A1 (en) 2005-11-29 2007-05-31 Haverty Michael G Methods of internal stress reduction in dielectric films with chemical incorporation and structures formed thereby
US7947579B2 (en) 2006-02-13 2011-05-24 Stc.Unm Method of making dense, conformal, ultra-thin cap layers for nanoporous low-k ILD by plasma assisted atomic layer deposition
US20080000373A1 (en) 2006-06-30 2008-01-03 Maria Petrucci-Samija Printing form precursor and process for preparing a stamp from the precursor
US7662527B2 (en) 2006-08-01 2010-02-16 Xerox Corporation Silanol containing photoconductor
KR100831046B1 (en) 2006-09-13 2008-05-21 삼성전자주식회사 Mold for nano-imprinting and method of manufacturing the mold
TW200826319A (en) 2006-12-14 2008-06-16 Univ Nat Central LED with micron and nano structure
TWI339881B (en) 2007-02-15 2011-04-01 Via Tech Inc Chip package
US8025932B2 (en) 2007-02-21 2011-09-27 Colorado School Of Mines Self-limiting thin film synthesis achieved by pulsed plasma-enhanced chemical vapor deposition
US20100108639A1 (en) 2007-03-30 2010-05-06 Pioneer Corporation Imprinting mold and method of producing imprinting mold
EP2212742B1 (en) 2007-11-21 2014-07-02 Molecular Imprints, Inc. Porous template and imprinting stack for nano-imprint lithography
WO2009142787A2 (en) 2008-02-18 2009-11-26 Board Of Regents, The University Of Texas System Photovoltaic devices based on nanostructured polymer films molded from porous template
US20100072671A1 (en) 2008-09-25 2010-03-25 Molecular Imprints, Inc. Nano-imprint lithography template fabrication and treatment
US8470188B2 (en) 2008-10-02 2013-06-25 Molecular Imprints, Inc. Nano-imprint lithography templates
US20100104852A1 (en) 2008-10-23 2010-04-29 Molecular Imprints, Inc. Fabrication of High-Throughput Nano-Imprint Lithography Templates
US20100109201A1 (en) 2008-10-31 2010-05-06 Molecular Imprints, Inc. Nano-Imprint Lithography Template with Ordered Pore Structure
CN101477304B (en) 2008-11-04 2011-08-17 南京大学 Stamping method for copying high-resolution nano-structure on complicated shape surface
EP2221664A1 (en) 2009-02-19 2010-08-25 Solvay Solexis S.p.A. Nanolithography process
US8147704B2 (en) 2009-07-10 2012-04-03 Korea University Research And Business Foundation Wide area stamp for antireflective surface
US8616873B2 (en) 2010-01-26 2013-12-31 Molecular Imprints, Inc. Micro-conformal templates for nanoimprint lithography
US20110189329A1 (en) 2010-01-29 2011-08-04 Molecular Imprints, Inc. Ultra-Compliant Nanoimprint Lithography Template

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3810874A (en) * 1969-03-10 1974-05-14 Minnesota Mining & Mfg Polymers prepared from poly(perfluoro-alkylene oxide) compounds
US3919351A (en) * 1973-08-29 1975-11-11 Ppg Industries Inc Composition useful in making extensible films
US4271258A (en) * 1980-06-11 1981-06-02 Tamura Kaken Co., Ltd. Photopolymerizable ink compositions
US4617238A (en) * 1982-04-01 1986-10-14 General Electric Company Vinyloxy-functional organopolysiloxane compositions
US4514439A (en) * 1983-09-16 1985-04-30 Rohm And Haas Company Dust cover
US4512848A (en) * 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4517337A (en) * 1984-02-24 1985-05-14 General Electric Company Room temperature vulcanizable organopolysiloxane compositions and method for making
US4614667A (en) * 1984-05-21 1986-09-30 Minnesota Mining And Manufacturing Company Composite low surface energy liner of perfluoropolyether
US4826943A (en) * 1986-07-25 1989-05-02 Oki Electric Industry Co., Ltd. Negative resist material
US4931351A (en) * 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US4731155A (en) * 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4988274A (en) * 1987-12-21 1991-01-29 Dresser Industries, Inc. Method and apparatus for producing an optical element
US5028366A (en) * 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
US5028511A (en) * 1989-05-30 1991-07-02 E. I. Du Pont De Nemours And Company Process for preparing a precolored image using photosensitive reproduction element containing a photorelease layer
US5753781A (en) * 1990-02-23 1998-05-19 Minnesota Mining And Manufacturing Company Blended polycaprolactone thermoplastic molding composition
US6174931B1 (en) * 1991-02-28 2001-01-16 3M Innovative Properties Company Multi-stage irradiation process for production of acrylic based compositions and compositions made thereby
US5206983A (en) * 1991-06-24 1993-05-04 Wisconsin Alumni Research Foundation Method of manufacturing micromechanical devices
US5369722A (en) * 1991-09-18 1994-11-29 Schott Glaswerke Optical inorganic waveguide with a substantially planar organic substrate
US5331020A (en) * 1991-11-14 1994-07-19 Dow Corning Limited Organosilicon compounds and compositions containing them
US5395954A (en) * 1992-07-20 1995-03-07 Societe Des Ceramiques Techniques Organic-inorganic polycondensate and a method of obtaining the same
US5601641A (en) * 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
US5298556A (en) * 1992-07-21 1994-03-29 Tse Industries, Inc. Mold release composition and method coating a mold core
US5425848A (en) * 1993-03-16 1995-06-20 U.S. Philips Corporation Method of providing a patterned relief of cured photoresist on a flat substrate surface and device for carrying out such a method
US5629095A (en) * 1993-05-18 1997-05-13 Dow Corning Corporation Radiation curable compositions containing vinyl ether functional polysiloxanes and methods for the preparation
US5861467A (en) * 1993-05-18 1999-01-19 Dow Corning Corporation Radiation curable siloxane compositions containing vinyl ether functionality and methods for their preparation
US5594042A (en) * 1993-05-18 1997-01-14 Dow Corning Corporation Radiation curable compositions containing vinyl ether functional polyorganosiloxanes
US6776094B1 (en) * 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5542978A (en) * 1994-06-10 1996-08-06 Johnson & Johnson Vision Products, Inc. Apparatus for applying a surfactant to mold surfaces
US5837314A (en) * 1994-06-10 1998-11-17 Johnson & Johnson Vision Products, Inc. Method and apparatus for applying a surfactant to mold surfaces
US5928767A (en) * 1995-06-07 1999-07-27 Dexter Corporation Conductive film composite
US6468642B1 (en) * 1995-10-03 2002-10-22 N.V. Bekaert S.A. Fluorine-doped diamond-like coatings
US6309580B1 (en) * 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US6809356B2 (en) * 1995-11-15 2004-10-26 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US6518189B1 (en) * 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US20040137734A1 (en) * 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US5772905A (en) * 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US5905104A (en) * 1995-12-04 1999-05-18 H. B. Fuller Licensing & Financing, Inc. Heat resistant powder coating composition
US5669303A (en) * 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US6204343B1 (en) * 1996-12-11 2001-03-20 3M Innovative Properties Company Room temperature curable resin
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US6583248B1 (en) * 1997-01-06 2003-06-24 American Dental Association Health Foundation Polymerizable cyclodextrin derivatives
US6132632A (en) * 1997-09-11 2000-10-17 International Business Machines Corporation Method and apparatus for achieving etch rate uniformity in a reactive ion etcher
US6117708A (en) * 1998-02-05 2000-09-12 Micron Technology, Inc. Use of residual organic compounds to facilitate gate break on a carrier substrate for a semiconductor device
US6114404A (en) * 1998-03-23 2000-09-05 Corning Incorporated Radiation curable ink compositions and flat panel color filters made using same
US6200736B1 (en) * 1998-04-15 2001-03-13 Etec Systems, Inc. Photoresist developer and method
US6468896B2 (en) * 1998-06-29 2002-10-22 Infineon Technologies Ag Method of fabricating semiconductor components
US20030034329A1 (en) * 1998-06-30 2003-02-20 Chou Stephen Y. Lithographic method for molding pattern with nanoscale depth
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US20020042027A1 (en) * 1998-10-09 2002-04-11 Chou Stephen Y. Microscale patterning and articles formed thereby
US20040118809A1 (en) * 1998-10-09 2004-06-24 Chou Stephen Y. Microscale patterning and articles formed thereby
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6342097B1 (en) * 1999-04-23 2002-01-29 Sdc Coatings, Inc. Composition for providing an abrasion resistant coating on a substrate with a matched refractive index and controlled tintability
US6565776B1 (en) * 1999-06-11 2003-05-20 Bausch & Lomb Incorporated Lens molds with protective coatings for production of contact lenses and other ophthalmic products
US6344105B1 (en) * 1999-06-30 2002-02-05 Lam Research Corporation Techniques for improving etch rate uniformity
US6190929B1 (en) * 1999-07-23 2001-02-20 Micron Technology, Inc. Methods of forming semiconductor devices and methods of forming field emission displays
US6544594B2 (en) * 1999-09-10 2003-04-08 Nano-Tex, Llc Water-repellent and soil-resistant finish for textiles
US6517995B1 (en) * 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6391217B2 (en) * 1999-12-23 2002-05-21 University Of Massachusetts Methods and apparatus for forming submicron patterns on films
US7160949B2 (en) * 2000-01-21 2007-01-09 Mitsui Chemicals, Inc. Olefin block copolymers, processes for producing the same and uses thereof
US6774183B1 (en) * 2000-04-27 2004-08-10 Bostik, Inc. Copolyesters having improved retained adhesion
US20040046288A1 (en) * 2000-07-18 2004-03-11 Chou Stephen Y. Laset assisted direct imprint lithography
US20050037143A1 (en) * 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US20040131718A1 (en) * 2000-07-18 2004-07-08 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US20020132482A1 (en) * 2000-07-18 2002-09-19 Chou Stephen Y. Fluid pressure imprint lithography
US6455411B1 (en) * 2000-09-11 2002-09-24 Texas Instruments Incorporated Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
US6696220B2 (en) * 2000-10-12 2004-02-24 Board Of Regents, The University Of Texas System Template for room temperature, low pressure micro-and nano-imprint lithography
US6503914B1 (en) * 2000-10-23 2003-01-07 Board Of Regents, The University Of Texas System Thienopyrimidine-based inhibitors of the Src family
US6580172B2 (en) * 2001-03-02 2003-06-17 Motorola, Inc. Lithographic template and method of formation and use
US6737489B2 (en) * 2001-05-21 2004-05-18 3M Innovative Properties Company Polymers containing perfluorovinyl ethers and applications for such polymers
US6802870B2 (en) * 2001-05-25 2004-10-12 3M Innovative Properties Company Method for imparting soil and stain resistance to carpet
US20040197843A1 (en) * 2001-07-25 2004-10-07 Chou Stephen Y. Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US20030054115A1 (en) * 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
US6721529B2 (en) * 2001-09-21 2004-04-13 Nexpress Solutions Llc Release agent donor member having fluorocarbon thermoplastic random copolymer overcoat
US6790905B2 (en) * 2001-10-09 2004-09-14 E. I. Du Pont De Nemours And Company Highly repellent carpet protectants
US20030080471A1 (en) * 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method for molding pattern with nanoscale features
US20030080472A1 (en) * 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method with bonded release layer for molding small patterns
US20040156108A1 (en) * 2001-10-29 2004-08-12 Chou Stephen Y. Articles comprising nanoscale patterns with reduced edge roughness and methods of making same
US20050118749A1 (en) * 2002-02-19 2005-06-02 Nissan Chemical Industries Composition for forming anti-reflective coating
US20050051698A1 (en) * 2002-07-08 2005-03-10 Molecular Imprints, Inc. Conforming template for patterning liquids disposed on substrates
US20040008334A1 (en) * 2002-07-11 2004-01-15 Sreenivasan Sidlgata V. Step and repeat imprint lithography systems
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US20040124566A1 (en) * 2002-07-11 2004-07-01 Sreenivasan Sidlgata V. Step and repeat imprint lithography processes
US20040009673A1 (en) * 2002-07-11 2004-01-15 Sreenivasan Sidlgata V. Method and system for imprint lithography using an electric field
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US20040007799A1 (en) * 2002-07-11 2004-01-15 Choi Byung Jin Formation of discontinuous films during an imprint lithography process
US20040202872A1 (en) * 2002-07-23 2004-10-14 Pennzoil-Quaker State Company Hydrophobic surface treatment composition and method of making and using same
US20040021254A1 (en) * 2002-08-01 2004-02-05 Sreenivasan Sidlgata V. Alignment methods for imprint lithography
US20040022888A1 (en) * 2002-08-01 2004-02-05 Sreenivasan Sidlgata V. Alignment systems for imprint lithography
US20040021866A1 (en) * 2002-08-01 2004-02-05 Watts Michael P.C. Scatterometry alignment for imprint lithography
US20040046271A1 (en) * 2002-09-05 2004-03-11 Watts Michael P.C. Functional patterning material for imprint lithography processes
US20040065252A1 (en) * 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method of forming a layer on a substrate to facilitate fabrication of metrology standards
US20040110856A1 (en) * 2002-12-04 2004-06-10 Young Jung Gun Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US20040112862A1 (en) * 2002-12-12 2004-06-17 Molecular Imprints, Inc. Planarization composition and method of patterning a substrate using the same
US20040116548A1 (en) * 2002-12-12 2004-06-17 Molecular Imprints, Inc. Compositions for dark-field polymerization and method of using the same for imprint lithography processes
US20040170770A1 (en) * 2003-02-27 2004-09-02 Molecular Imprints, Inc. Method to reduce adhesion between a polymerizable layer and a substrate employing a fluorine-containing layer
US20040192041A1 (en) * 2003-03-27 2004-09-30 Jun-Ho Jeong UV nanoimprint lithography process using elementwise embossed stamp and selectively additive pressurization
US20050084804A1 (en) * 2003-10-16 2005-04-21 Molecular Imprints, Inc. Low surface energy templates
US20050100830A1 (en) * 2003-10-27 2005-05-12 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography

Cited By (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040058090A1 (en) * 2001-09-14 2004-03-25 Carlo Waldfried Low temperature UV pretreating of porous low-k materials
US8152511B2 (en) 2003-06-17 2012-04-10 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US7837921B2 (en) 2004-01-23 2010-11-23 Molecular Imprints, Inc. Method of providing desirable wetting and release characteristics between a mold and a polymerizable composition
US20110031651A1 (en) * 2004-01-23 2011-02-10 Molecular Imprints, Inc. Desirable wetting and release between an imprint lithography mold and a polymerizable composition
US8268220B2 (en) 2004-01-23 2012-09-18 Molecular Imprints, Inc. Imprint lithography method
US7939131B2 (en) 2004-08-16 2011-05-10 Molecular Imprints, Inc. Method to provide a layer with uniform etch characteristics
US20060035029A1 (en) * 2004-08-16 2006-02-16 Molecular Imprints, Inc. Method to provide a layer with uniform etch characteristics
US20070141271A1 (en) * 2004-09-23 2007-06-21 Molecular Imprints, Inc. Method for controlling distribution of fluid components on a body
US7981481B2 (en) 2004-09-23 2011-07-19 Molecular Imprints, Inc. Method for controlling distribution of fluid components on a body
US8889332B2 (en) 2004-10-18 2014-11-18 Canon Nanotechnologies, Inc. Low-K dielectric functional imprinting materials
US20060196375A1 (en) * 2004-10-22 2006-09-07 Seth Coe-Sullivan Method and system for transferring a patterned material
US7307118B2 (en) * 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US20110215503A1 (en) * 2004-11-24 2011-09-08 Molecular Imprints, Inc. Reducing Adhesion between a Conformable Region and a Mold
US20060111454A1 (en) * 2004-11-24 2006-05-25 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US8808808B2 (en) 2005-07-22 2014-08-19 Molecular Imprints, Inc. Method for imprint lithography utilizing an adhesion primer layer
US20090155583A1 (en) * 2005-07-22 2009-06-18 Molecular Imprints, Inc. Ultra-thin Polymeric Adhesion Layer
US8557351B2 (en) 2005-07-22 2013-10-15 Molecular Imprints, Inc. Method for adhering materials together
US7759407B2 (en) 2005-07-22 2010-07-20 Molecular Imprints, Inc. Composition for adhering materials together
US20070021520A1 (en) * 2005-07-22 2007-01-25 Molecular Imprints, Inc. Composition for adhering materials together
US8846195B2 (en) 2005-07-22 2014-09-30 Canon Nanotechnologies, Inc. Ultra-thin polymeric adhesion layer
US20070017631A1 (en) * 2005-07-22 2007-01-25 Molecular Imprints, Inc. Method for adhering materials together
US8142703B2 (en) 2005-10-05 2012-03-27 Molecular Imprints, Inc. Imprint lithography method
US9390920B2 (en) 2006-04-07 2016-07-12 Qd Vision, Inc. Composition including material, methods of depositing material, articles including same and systems for depositing material
US8470617B2 (en) 2006-04-07 2013-06-25 Qd Vision, Inc. Composition including material, methods of depositing material, articles including same and systems for depositing material
US20090215208A1 (en) * 2006-04-07 2009-08-27 Seth Coe-Sullivan Composition including material, methods of depositing material, articles including same and systems for depositing material
US8906804B2 (en) 2006-04-07 2014-12-09 Qd Vision, Inc. Composition including material, methods of depositing material, articles including same and systems for depositing materials
US20090215209A1 (en) * 2006-04-14 2009-08-27 Anc Maria J Methods of depositing material, methods of making a device, and systems and articles for use in depositing material
US20080113283A1 (en) * 2006-04-28 2008-05-15 Polyset Company, Inc. Siloxane epoxy polymers for redistribution layer applications
US9120149B2 (en) 2006-06-24 2015-09-01 Qd Vision, Inc. Methods and articles including nanomaterial
US20090283742A1 (en) * 2006-06-24 2009-11-19 Seth Coe-Sullivan Methods and articles including nanomaterial
US7966720B2 (en) * 2006-10-03 2011-06-28 Seiko Epson Corporation Method of manufacturing an element substrate
US20080081154A1 (en) * 2006-10-03 2008-04-03 Seiko Epson Corporation Element substrate and method of manufacturing the same
US20080261026A1 (en) * 2006-10-03 2008-10-23 Seiko Epson Corporation Element substrate and method of manufacturing the same
US7597813B2 (en) * 2006-10-03 2009-10-06 Seiko Epson Corporation Element substrate and method of manufacturing the same
US20100075108A1 (en) * 2006-11-01 2010-03-25 Koninklijke Phillips Electronics N.V. Relief layer and imprint method for making the same
US11619878B2 (en) 2006-11-01 2023-04-04 Koninklijke Philips N.V. Method for making relief layer
CN102967993A (en) * 2006-11-01 2013-03-13 皇家飞利浦电子股份有限公司 Relief layer and imprint method for making the same
US9298086B2 (en) * 2006-11-01 2016-03-29 Koninklijke Philips N.V. Method for making relief layer
US20080110557A1 (en) * 2006-11-15 2008-05-15 Molecular Imprints, Inc. Methods and Compositions for Providing Preferential Adhesion and Release of Adjacent Surfaces
US20090098688A1 (en) * 2007-03-16 2009-04-16 Canon Kabushiki Kaisha Imprint method, chip production process, and imprint apparatus
US8828307B2 (en) * 2007-03-16 2014-09-09 Canon Kabushiki Kaisha Imprint method, chip production process, and imprint apparatus
WO2008127835A1 (en) * 2007-04-12 2008-10-23 Molecular Imprints, Inc. Method for imprint lithography utilizing an adhesion primer layer
US20080308971A1 (en) * 2007-06-18 2008-12-18 Molecular Imprints, Inc. Solvent-Assisted Layer Formation for Imprint Lithography
US8142702B2 (en) 2007-06-18 2012-03-27 Molecular Imprints, Inc. Solvent-assisted layer formation for imprint lithography
KR100991354B1 (en) * 2007-08-13 2010-11-02 주식회사 네패스 The insulator Coating Solution Using semiconductor and fabricating method thereof
WO2009067241A1 (en) * 2007-11-21 2009-05-28 Molecular Imprints, Inc. Porous template and imprinting stack for nano-imprint lithography
KR101610180B1 (en) 2007-11-21 2016-04-07 캐논 나노테크놀로지즈 인코퍼레이티드 Porous template and imprinting stack for nano-imprint lithography
US9778562B2 (en) * 2007-11-21 2017-10-03 Canon Nanotechnologies, Inc. Porous template and imprinting stack for nano-imprint lithography
US20090140458A1 (en) * 2007-11-21 2009-06-04 Molecular Imprints, Inc. Porous template and imprinting stack for nano-imprint lithography
TWI409583B (en) * 2007-12-03 2013-09-21 Molecular Imprints Inc Porous template and imprinting stack for nano-imprint lithography
US8053164B2 (en) 2008-09-01 2011-11-08 Samsung Electronics Co., Ltd. Resist composition and method for forming a pattern using the same
US20100055611A1 (en) * 2008-09-01 2010-03-04 Bo-Sung Kim Resist composition and method for forming a pattern using the same
US20100072671A1 (en) * 2008-09-25 2010-03-25 Molecular Imprints, Inc. Nano-imprint lithography template fabrication and treatment
US20100084376A1 (en) * 2008-10-02 2010-04-08 Molecular Imprints, Inc. Nano-imprint lithography templates
US8470188B2 (en) 2008-10-02 2013-06-25 Molecular Imprints, Inc. Nano-imprint lithography templates
WO2010047821A1 (en) * 2008-10-23 2010-04-29 Molecular Imprints, Inc. Fabrication of high-throughput nano-imprint lithography templates
US20100104852A1 (en) * 2008-10-23 2010-04-29 Molecular Imprints, Inc. Fabrication of High-Throughput Nano-Imprint Lithography Templates
US11161280B2 (en) 2008-10-24 2021-11-02 Molecular Imprints, Inc. Strain and kinetics control during separation phase of imprint process
US8652393B2 (en) 2008-10-24 2014-02-18 Molecular Imprints, Inc. Strain and kinetics control during separation phase of imprint process
US20100102469A1 (en) * 2008-10-24 2010-04-29 Molecular Imprints, Inc. Strain and Kinetics Control During Separation Phase of Imprint Process
US8361546B2 (en) 2008-10-30 2013-01-29 Molecular Imprints, Inc. Facilitating adhesion between substrate and patterned layer
US20100112236A1 (en) * 2008-10-30 2010-05-06 Molecular Imprints, Inc. Facilitating Adhesion Between Substrate and Patterned Layer
US8637587B2 (en) 2008-11-05 2014-01-28 Molecular Imprints, Inc. Release agent partition control in imprint lithography
US20100109195A1 (en) * 2008-11-05 2010-05-06 Molecular Imprints, Inc. Release agent partition control in imprint lithography
US20110165412A1 (en) * 2009-11-24 2011-07-07 Molecular Imprints, Inc. Adhesion layers in nanoimprint lithograhy
US20110183027A1 (en) * 2010-01-26 2011-07-28 Molecular Imprints, Inc. Micro-Conformal Templates for Nanoimprint Lithography
US8616873B2 (en) 2010-01-26 2013-12-31 Molecular Imprints, Inc. Micro-conformal templates for nanoimprint lithography
US20110189329A1 (en) * 2010-01-29 2011-08-04 Molecular Imprints, Inc. Ultra-Compliant Nanoimprint Lithography Template
US20160187774A1 (en) * 2013-09-18 2016-06-30 Canon Kabushiki Kaisha Method of producing film, method of producing optical component, method of producing circuit board, method of producing electronic component, and photocurable composition
US10338467B2 (en) * 2013-09-18 2019-07-02 Canon Kabushiki Kaisha Method of producing film
US20150155339A1 (en) * 2013-11-29 2015-06-04 Tsinghua University Method of making organic light emitting diode array
US9305978B2 (en) * 2013-11-29 2016-04-05 Tsinghua University Method of making organic light emitting diode array

Also Published As

Publication number Publication date
KR20070083711A (en) 2007-08-24
US8889332B2 (en) 2014-11-18
EP1805559A2 (en) 2007-07-11
TW200621895A (en) 2006-07-01
US20110256355A1 (en) 2011-10-20
WO2006044690A2 (en) 2006-04-27
JP2008517480A (en) 2008-05-22
WO2006044690A3 (en) 2006-08-10

Similar Documents

Publication Publication Date Title
US8889332B2 (en) Low-K dielectric functional imprinting materials
TWI496242B (en) Patternable low-k dielectric interconnect structure with a graded cap layer and method of fabrication
US7691275B2 (en) Use of step and flash imprint lithography for direct imprinting of dielectric materials for dual damascene processing
US8241992B2 (en) Method for air gap interconnect integration using photo-patternable low k material
US8637395B2 (en) Methods for photo-patternable low-k (PPLK) integration with curing after pattern transfer
JP5739196B2 (en) Structures and methods incorporating voids
KR20110014540A (en) Electronic device manufacture
KR20050084638A (en) Gas layer formation materials
US8367540B2 (en) Interconnect structure including a modified photoresist as a permanent interconnect dielectric and method of fabricating same
WO2002045145A2 (en) Uv-free curing of organic dielectrica
CN1802265A (en) Positive tone bi-layer imprint lithography method and compositions therefor
WO2005110699A2 (en) Method of patterning a conductive layer on a substrate
US8617786B2 (en) Poly-oxycarbosilane compositions for use in imprint lithography
WO2007126956A2 (en) Damascene interconnection having porous low k layer with improved mechanical properties
WO2006033872A2 (en) Method of forming an in-situ recessed structure
KR100656225B1 (en) Patterning layers comprised of spin-on ceramic films
US7192880B2 (en) Method for line etch roughness (LER) reduction for low-k interconnect damascene trench etching
WO2004088414A2 (en) Positive tone bi-layer imprint lithography method and compositions therefor

Legal Events

Date Code Title Description
AS Assignment

Owner name: MOLECULAR IMPRINTS, INC., TEXAS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:XU, FRANK Y.;CHUN, JUN SUNG;WATTS, MICHAEL P.C.;REEL/FRAME:015909/0210;SIGNING DATES FROM 20041011 TO 20041013

STCB Information on status: application discontinuation

Free format text: ABANDONED -- AFTER EXAMINER'S ANSWER OR BOARD OF APPEALS DECISION