US20110140306A1 - Composition for an Etching Mask Comprising a Silicon-Containing Material - Google Patents

Composition for an Etching Mask Comprising a Silicon-Containing Material Download PDF

Info

Publication number
US20110140306A1
US20110140306A1 US13/029,805 US201113029805A US2011140306A1 US 20110140306 A1 US20110140306 A1 US 20110140306A1 US 201113029805 A US201113029805 A US 201113029805A US 2011140306 A1 US2011140306 A1 US 2011140306A1
Authority
US
United States
Prior art keywords
hard mask
composition
mask composition
cross
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/029,805
Inventor
Frank Y. Xu
Michael N. Miller
Michael P.C. Watts
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Nanotechnologies Inc
Original Assignee
Molecular Imprints Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/789,319 external-priority patent/US7122079B2/en
Application filed by Molecular Imprints Inc filed Critical Molecular Imprints Inc
Priority to US13/029,805 priority Critical patent/US20110140306A1/en
Publication of US20110140306A1 publication Critical patent/US20110140306A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures

Definitions

  • the field of invention relates generally to micro-fabrication of structures. More particularly, the present invention is directed to formation of an etching mask comprising a silicon containing material used in semiconductor processing.
  • Micro-fabrication involves the fabrication of very small structures, e.g., having features on the order of micro-meters or smaller.
  • One area in which micro-fabrication has had a sizeable impact is in the processing of integrated circuits.
  • micro-fabrication becomes increasingly important.
  • Micro-fabrication provides greater process control while allowing increased reduction of the minimum feature dimension of the structures formed.
  • Other areas of development in which micro-fabrication has been employed include biotechnology, optical technology, mechanical systems and the like.
  • Willson et al. disclose a method of forming a relief image in a structure.
  • the method includes providing a substrate having a transfer layer.
  • the transfer layer is covered with a polymerizable fluid composition.
  • An imprint device makes mechanical contact with the polymerizable fluid.
  • the imprint device includes a relief structure formed from lands and grooves.
  • the polymerizable fluid composition fills the relief structure, with the thickness of the polymerizable fluid in superimposition with the lands defining a residual thickness.
  • the polymerizable fluid composition is then subjected to conditions to solidify and polymerize the same, forming a solidified polymeric material on the transfer layer that contains a relief structure complimentary to that of the imprint device.
  • the imprint device is then separated from the solid polymeric material such that a replica of the relief structure in the imprint device is formed in the solidified polymeric material.
  • the transfer layer and the solidified polymeric material are subjected to an environment to selectively etch the transfer layer relative to the solidified polymeric material such that a relief image is formed in the transfer layer. Thereafter, conventional etching processes may be employed to transfer the pattern of the relief structure into the substrate.
  • U.S. Patent Application Publication No. 2003/0235787 to Watts et al. entitled “Low Viscosity High Resolution Patterning Material,” discloses a method of forming a conformal layer upon a patterned layer with the conformal layer serving as a hard mask for the patterned layer during etching and the conformal layer being formed from a silicon-containing polymerized fluid.
  • the present invention includes a composition for a silicon-containing material used as an etch mask. More specifically, the silicon-containing material may be used as an etch mask for an imprinted layer comprising protrusions and recessions.
  • the composition includes a solid silicone T-resin (also known as a silsesquioxane), a cross-linking agent, a catalyst, and a solvent.
  • composition allows the silicon-containing material to selectively etch the protrusions and the segments of the patterned imprinted layer in superimposition therewith, while minimizing the etching of the segments in superposition with the recessions, and therefore allowing an in-situ hardened mask to be created by the silicon-containing material, with the hardened mask and the imprinting layer forming a substantially planarized profile.
  • the composition includes an epoxy-functional silane in addition to the aforementioned components. The epoxy-functional silane is added to improve the cross-linking conversion rate of the composition.
  • FIG. 1 is a perspective view of a lithographic system in accordance with the present invention
  • FIG. 2 is a simplified elevation view of a lithographic system, shown in FIG. 1 , employed to create a patterned imprinting layer in accordance with the present invention
  • FIG. 3 is a simplified representation of material from which a patterned imprinting layer, shown in FIG. 2 , is comprised before being polymerized and cross-linked in accordance with the present invention
  • FIG. 4 is a simplified representation of cross-linked polymer material into which the material shown in FIG. 3 is transformed after being subjected to radiation in accordance with the present invention
  • FIG. 5 is a simplified elevation view of an imprint device spaced-apart from the patterned imprinting layer, shown in FIG. 1 , after patterning in accordance with the present invention
  • FIG. 6 is a simplified elevation view of formation of a multi-layered structure on a solidified imprinting layer, shown in FIG. 5 , by deposition of a conformal layer, adjacent to the patterned imprinting layer, employing a mold in accordance with one embodiment of the present invention;
  • FIG. 7 is a simplified elevation view after a blanket etch of the multi-layered structure, shown in FIG. 6 , to format a crown surface in the conformal layer with portions of the patterned imprinting layer being exposed in accordance with one embodiment of the present invention
  • FIG. 8 is a simplified elevation view of the multi-layered structure, shown in FIG. 7 , after subjecting the crown surface to an anisotropic etch to expose regions of a substrate in accordance with the present invention
  • FIG. 9 is a simplified elevation view showing planarization of a conformal layer employing a planarized mold in accordance with an alternate embodiment of the present invention.
  • FIG. 10 is a simplified plan view of a radiation source employed in the lithographic system shown in FIG. 1 , depicting dual radiation sources;
  • FIG. 11 is a simplified plan view of a radiation source employed in the lithographic system shown in FIG. 1 , depicting single radiation source;
  • FIG. 12 is a cross-sectional view of a substrate shown in FIGS. 1 , 2 , 5 , 6 , 7 and 8 showing an infra-red absorption layer in accordance with the present invention
  • FIG. 13 is a cross-sectional view of a substrate shown in FIGS. 1 , 2 , 5 , 6 , 7 and 8 showing an infra-red absorption layer in accordance with an alternate embodiment of the present invention
  • FIG. 14 is a cross-section view showing a release layer and a planarization layer that may be employed in accordance with the present invention.
  • FIG. 15 is a cross-section view showing a release layer applied to a planarization mold shown in FIG. 13 .
  • FIG. 1 depicts a lithographic system 10 in accordance with one embodiment of the present invention that includes a pair of spaced-apart bridge supports 12 having a bridge 14 and a stage support 16 extending therebetween. Bridge 14 and stage support 16 are spaced-apart. Coupled to bridge 14 is an imprint head 18 , which extends from bridge 14 toward stage support 16 . Disposed upon stage support 16 to face imprint head 18 is a motion stage 20 . Motion stage 20 is configured to move with respect to stage support 16 along X and Y axes and may provide movement along the Z axis as well.
  • a radiation source 22 is coupled to system 10 to impinge actinic radiation upon motion stage 20 . As shown, radiation source 22 is coupled to bridge 14 and includes a power generator 23 connected to radiation source 22 .
  • Patterned mold 26 includes a plurality of features defined by a plurality of spaced-apart recesses 28 and projections 30 .
  • Projections 30 have a width W 1 and recesses 28 have a width W 2 , both of which are measured in a direction that extends transversely to the Z axis.
  • the plurality of features defines an original pattern that forms the basis of a pattern to be transferred into a substrate 32 positioned on motion stage 20 .
  • imprint head 18 is adapted to move along the Z axis and vary a distance “d” between patterned mold 26 and substrate 32 .
  • motion stage 20 may move template 24 along the Z-axis.
  • the features on patterned mold 26 may be imprinted into a flowable region of substrate 32 , discussed more fully below.
  • Radiation source 22 is located so that patterned mold 26 is positioned between radiation source 22 and substrate 32 .
  • patterned mold 26 is fabricated from material that allows it to be substantially transparent to the radiation produced by radiation source 22 .
  • An exemplary system is available under the trade name IMPRIO 100TM from Molecular Imprints, Inc. having a place of business at 1807-C Braker Lane, Suite 100, Austin, Tex. 78758. The system description for the IMPRIO 100TM is available at www.molecularimprints.com and is incorporated herein by reference.
  • a flowable region such as an imprinting layer 34 , is disposed on a portion of surface 36 that presents a substantially planar profile.
  • the flowable region is deposited as a plurality of spaced-apart discrete droplets 38 of material 40 on substrate 32 , discussed more fully below.
  • Material 40 is substantially silicon-free and may be selectively polymerized and cross-linked to record an inverse of the original pattern therein, defining a recorded pattern.
  • Material 40 is shown in FIG. 4 as being cross-linked at points 42 , forming cross-linked polymer material 44 .
  • the pattern recorded in imprinting layer 34 is produced, in part, by mechanical contact with patterned mold 26 .
  • the distance “d” is reduced to allow imprinting layer 34 to come into mechanical contact with patterned mold 26 , spreading droplets 38 so as to form imprinting layer 34 with a contiguous formation of material 40 over surface 36 .
  • distance “d” is reduced to allow sub-portions 46 of imprinting layer 34 to ingress into and fill recesses 28 .
  • sub-portions 48 of imprinting layer 34 in superimposition with projections 30 remain after the desired, usually minimum distance “d”, has been reached, leaving sub-portions 46 with a thickness t 1 , and sub-portions 48 with a thickness, t 2 .
  • Thickness t 2 is referred to as a residual thickness. Thicknesses “t 1 ” and “t 2 ” may be any thickness desired, dependent upon the application.
  • the total volume contained in droplets 38 may be such so as to minimize, or avoid, a quantity of material 40 from extending beyond the region of surface 36 in superimposition with patterned mold 26 , while obtaining desired thicknesses t 1 and t 2 .
  • radiation source 22 produces actinic radiation that polymerizes and cross-links material 40 , forming cross-linked polymer material 44 .
  • the composition of imprinting layer 34 transforms from material 40 to material 44 , which is a solid.
  • material 44 is solidified to form solidified imprinting layer 134 with a side having a shape that conforms to a shape of a surface 50 of patterned mold 26 , shown more clearly in FIG. 5 .
  • solidified imprinting layer 134 is formed having recessions 52 and protrusions 54 .
  • step and repeat process An exemplary step and repeat process is disclosed in U.S. Pat. No. 6,900,881, which assigned to assignee of the present invention and is incorporated by reference.
  • the characteristics of material 40 are important to efficiently pattern substrate 32 in light of the unique deposition process employed.
  • material 40 is deposited on substrate 32 as a plurality of discrete and spaced-apart droplets 38 .
  • the combined volume of droplets 38 is such that the material 40 is distributed appropriately over an area of surface 36 where imprinting layer 34 is to be formed.
  • the total volume of imprinting material 40 in droplets 38 defines the distance “d”, to be obtained so that the total volume occupied by the material 40 in the gap defined between patterned mold 26 and the portion of substrate 32 in superimposition therewith once the desired distance “d” is reached is substantially equal to the total volume of material 40 in droplets 38 .
  • imprinting layer 34 is spread and patterned concurrently, with the pattern being subsequently set by exposure to radiation, such as ultraviolet radiation.
  • radiation such as ultraviolet radiation.
  • material 40 have certain characteristics to provide rapid and even spreading of material 40 in droplets 38 over surface 36 so that the all thicknesses t 2 are substantially uniform and all residual thicknesses t 2 are substantially uniform.
  • An exemplary composition for material 40 is silicon-free and consists of the following:
  • COMPOSITION 1 isobornyl acrylate comprises approximately 55% of the composition, n-hexyl acrylate comprises approximately 27%, ethylene glycol diacrylate comprises approximately 15% and the initiator 2-hydroxy-2-methyl-1-phenyl-propan-1-one comprises approximately 3%.
  • the initiator is sold under the trade name DAROCUR® 1173 by CIBA® of Tarrytown, N.Y.
  • the above-identified composition also includes stabilizers that are well known in the chemical art to increase the operational life of the composition.
  • COMPOSITION 1 may be employed with a template treated to have a mold surface that is hydrophobic and/or low surface energy, e.g., an a priori release layer.
  • an additive may be included in COMPOSITION 1.
  • material 40 may include, as an additive, a surfactant.
  • a surfactant is defined as any molecule, one tail of which is hydrophobic.
  • Surfactants may be either fluorine containing, e.g., include a fluorine chain, or may not include any fluorine in the surfactant molecule structure.
  • An exemplary surfactant is available under the trade name ZONYL® FSO-100 from DUPONTTM that has a general structure of R 1 R 2 where R 1 ⁇ F(CF 2 CF 2 ) Y , with y being in a range of 1 to 7, inclusive and R 2 ⁇ CH 2 CH 2 —O—(CH 2 CH 2 O) X H, where X is in a range of 0 to 15, inclusive.
  • ZONYL® FSO-100 from DUPONTTM that has a general structure of R 1 R 2 where R 1 ⁇ F(CF 2 CF 2 ) Y , with y being in a range of 1 to 7, inclusive and R 2 ⁇ CH 2 CH 2 —O—(CH 2 CH 2 O) X H, where X is in a range of 0 to 15, inclusive.
  • the ZONYL® FSO-100 additive comprises less than 1% of the composition, with the relative amounts of the remaining components being as discussed above with respect to COMPOSITION 1. However, the percentage of ZONYL® FSO-100 may be greater than 1%.
  • a multi-layered structure 56 is generated by formation of a silicon-containing conformal layer 58 adjacent to solidified imprinting layer 134 .
  • silicon-containing material is deposited adjacent to solidified imprinting layer 134 .
  • a silicon-containing material may be deposited adjacent to solidified imprinting layer 134 using any known technique to form conformal layer 58 , such as the technique discussed above with respect to deposition of material 40 .
  • the silicon-containing material may be deposited adjacent to solidified imprinting layer 134 employing spin-coating techniques.
  • silicon-containing material is deposited adjacent to solidified imprinting layer 134 using spin-coating techniques and subsequently thermally curing the silicon-containing material to form conformal layer 58 .
  • exemplary material that may be employed to form conformal layer 58 includes solid silicone T-resin, a cross-linking agent, a catalyst, and a solvent.
  • the solid silicone T-resin also known as silsesquioxane, is process compatible, satisfying ionic, purity, and by-product contamination requirements desired.
  • the cross-linking agent is included to cross-link the silicone resin, providing conformal layer 58 with the properties to record a pattern thereon having very small feature sizes, i.e., on the order of a few nanometers.
  • the catalyst is provided to produce a condensation reaction in response to thermal energy, e.g., heat, causing the silicone resin and the cross-linking agent to polymerize and cross-link, forming a cross-linked polymer material.
  • the solvent selected is compatible with the silicone resin and represents the remaining balance of the silicon-containing material. It is desired that the solvent minimize, if not avoid, causing distortions in solidified imprinting layer 134 due, for example, to swelling of solidified imprinting layer 134 .
  • the silicone T-resin can be any alkyl and/or aryl substituted silsesquioxane, copolymer, blend or mixture thereof.
  • Such silicone T-resins have the general formula RSiO 1.5 and, in some embodiments, R is selected from the group consisting of hydroxyl, methyl, phenyl, propyl, and combinations thereof.
  • silicone T-resin examples include ultraviolet (UV) curable sol-gels, UV curable epoxy-functionalized silsesquioxane, UV curable acrylate-functionalized silsesquioxane, and UV curable silsesquioxane via thiolene chemistry; and non-cured materials such as hydrogen silsesquioxane, and poly(meth)acrylate/siloxane copolymers.
  • UV curable sol-gels UV curable epoxy-functionalized silsesquioxane
  • UV curable acrylate-functionalized silsesquioxane examples include UV curable acrylate-functionalized silsesquioxane via thiolene chemistry
  • non-cured materials such as hydrogen silsesquioxane, and poly(meth)acrylate/siloxane copolymers.
  • a hydroxyl-functional polysiloxane is used such as a hydroxyl-functional silsesquioxane, where such species can
  • the silicone T-resin may be present in the silicon-containing composition in amounts of approximately 2 to 40% by weight, depending on the thicknesses desired for conformal layer 58 .
  • Examples of hydroxyl-functional silsesquioxanes used in the present invention are silicon T-resin intermediates available from Dow Corning® (Midland, Mich.) under the trade names Z-6018 and 217 flake resin.
  • the cross-linking agent is a compound that includes two or more polymerizable groups.
  • the cross-linking agent may be present in the silicon-containing composition in amounts of approximately 2 to 50% by weight in relation to the quantity of silicone resin present. Typically, the cross-linking agent is present in the silicon-containing composition in an amount of approximately 20 to 30%.
  • An example of a cross-linking agent used in the present invention is a hexamethoxymethylmelamine (HMMM) based aminoplast cross-linking agent available from Cytec Industries, Inc. (West Paterson, N.J.) under the trade name CYMEL 303ULF.
  • the catalyst may be any component that catalyzes a condensation reaction. Suitable catalysts may include, but are not limited to, acidic compounds such as sulfonic acid.
  • the catalyst may be present in the silicon-containing material in amounts of approximately 0.05% to 5% by weight in relation to the silicone resin present. Typically, the catalyst is present in the silicon-containing material in an amount of approximately 1 to 2%.
  • An example of a catalyst used in the present invention is toluenesulfonic acid available from Cytec Industries, Inc. (West Paterson, N.J.) under the trade name CYCAT 4040.
  • a solvent is utilized.
  • the solvent can be any solvent or combination of solvents that satisfies several criteria. As mentioned above, the solvent should not cause solidified imprinting layer 134 to swell. In addition, the evaporation rate of the solvent should be established so that a desired quantity of the solvent evaporates as a result of the spin-coating process while providing sufficient viscosity to facilitate planarization of silicon-containing material in furtherance of forming conformal layer 58 .
  • Suitable solvents may include, but are not limited to, alcohol, ether, a glycol or glycol ether, a ketone, an ester, an acetate and mixtures thereof.
  • the solvent may be present in the silicon-containing material used to form conformal layer 58 in amounts of approximately 60 to 98% by weight, dependent upon the desired thicknesses of conformal layer 58 .
  • solvents used in the present invention are methyl amyl ketone (MAK) and propylene glycol methyl ether acetate available from Aldrich Co. (St. Louis, Mo.).
  • composition of conformal layer 58 is altered to include an epoxy-functional silane coupling agent to improve the cross-linking reaction and improve the rate of cross-linking.
  • epoxy-functional silanes may include glycidoxymethyltrimethoxysilane,
  • the epoxy-functional silane may be present in conformal layer 58 in amounts of approximately 2 to 30% by weight of silicon-containing compound in relation to the silicone resin and typically in an amount of 5 to 10%.
  • An example of epoxy-functional silane used in the present invention is gamma-glycidoxypropyltrimethoxysilane available from GE Silicone/OSi Specialty (Wilton, Conn.) under the trade name A187.
  • compositions from which to form conformal layer 58 are as follows:
  • hydroxyl-functional polysiloxane, Z-6018 comprises approximately 4% of the composition, hexamethoxymethylmelamine comprises approximately 0.95%, toluenesulfonic acid comprises approximately 0.05% and methyl amyl ketone comprises approximately 95%.
  • hydroxyl-functional polysiloxane, Z-6018 comprises approximately 4% of the composition, hexamethoxymethylmelamine comprises approximately 0.7%, gamma-glycidoxypropyltrimethoxysilane comprises approximately 0.25%, toluenesulfonic acid comprises approximately 0.05%, and methyl amyl ketone comprises approximately 95%.
  • hydroxyl-functional silsesquioxane Dow Corning 217 resin
  • hexamethoxymethylmelamine comprises approximately 1.8%
  • toluene sulfonic acid comprises approximately 0.2%
  • propylene glycol methyl ether acetate comprises approximately 90%.
  • COMPOSITIONS 3, 4 and 5 are made up of at least 4% of the silicone resin. Upon curing, however, the quantity of silicon present in conformal layer 58 is at least 5% by weight and typically in a range of 20% or greater. Specifically, the quantity and composition of the solvent present in COMPOSITIONS 3, 4 and 5 is selected so that a substantial portion of the solvent evaporates during spin-coating application of the COMPOSITION 3, 4 or 5 on solidified imprinting layer 134 . In the present exemplary silicon-containing material, approximately 90% of the solvent evaporates during spin-coating. Upon exposing the silicon-containing material to thermal energy, the remaining 10% of the solvent evaporates, leaving conformal layer 58 with approximately 20% silicon by weight.
  • An exemplary method of forming conformal layer 58 includes spinning-on approximately 4 mL of the silicon-containing material deposited proximate to a center of solidified imprinting layer 134 .
  • substrate 32 is spun at 1000 rev/min for 1 min by placing substrate 32 on a hot plate.
  • the silicon-containing material is subjected to thermal energy by baking at 150° C. for 1 min.
  • the aforementioned spin-coating and curing processes are simply repeated.
  • the solvent employed is selected so as not to remove, “wash away,” silicon-containing material in a well-cured conformal layer 58 .
  • conformal layer 58 includes first and second opposed sides.
  • First side 60 faces imprinting layer 134 and has a profile complementary to the profile of the imprinting layer 134 .
  • the second side faces away from imprinting layer 134 forming a normalization surface 62 , which is substantially smooth and typically planar.
  • normalization surface 62 provides a solidified conformal layer 58 with a substantially normalized profile. It is believed that normalization surface 62 is provided with a smooth, e.g., substantially planar, topography by ensuring that COMPOSITIONS 3, 4 and 5 have a glass transition temperature lower than the curing temperature.
  • the temperature difference between the glass transition temperature and the curing temperature be sufficient to allow the silicon-containing material to reflow during curing to maximize smoothness, e.g., planarity of normalization surface 62 in a minimum amount of time.
  • the COMPOSITIONS 3, 4 and 5 each have a glass transition temperature in the range of from approximately 50° C. to 80° C. and a curing temperature of 150° C.
  • the distances, k 2 , k 4 , k 6 , k 8 and k 10 , between the apex 64 of each of the protrusions 54 and normalization surface 62 are substantially the same.
  • the distance, k 1 , k 3 , k 5 , k 7 , k 9 and k 11 between a nadir surface 66 of each of the recessions 52 and normalization surface 62 are substantially the same.
  • a blanket etch is employed to remove portions of conformal layer 58 to provide multi-layered structure 56 with a crown surface 70 .
  • the blanket etch may be achieved in a system available from LAM Research 9400SE obtained from Lam Research, Inc. of Fremont, Calif.
  • normalization surface 62 is subjected to an isotropic halogen reactive ion etch (“RIE”) rich in fluorine, i.e., wherein at least one of the precursors was a fluorine-containing material, for example, and without limitation, a combination of CHF 3 and O 2 .
  • RIE isotropic halogen reactive ion etch
  • Other suitable halogen compounds include, for example, and without limitation, CF 4 . It is desirable that oxygen be absent from the plasma chemistry.
  • Normalization surface 62 is subjected to the blanket etch sufficient to expose crown surface 70 .
  • Crown surface 70 is defined by an exposed surface 72 of each of protrusions 54 and upper surfaces of portions 74 that remain on conformal layer 58 after the blanket etch.
  • the composition of conformal layer 58 is such that when the blanket etch is applied to conformal layer 58 , crown surface 70 is provided with a substantially planar profile. That is, the thickness of protrusions 54 , shown as “a”, is substantially the same as the thickness of portions 74 , shown as “b”.
  • An exemplary blanket etch may be a plasma etch process employing a fluorine-based chemistry.
  • crown surface 70 is subjected to an anisotropic etch.
  • the etch chemistry of the anisotropic etch is selected to maximize etching of protrusions 54 and the segments of imprinting layer 134 , in superimposition therewith, while minimizing etching of the portions 74 in superimposition with recessions 52 .
  • advantage was taken of the distinction of the silicon content between the imprinting layer 134 and the conformal layer 58 .
  • employing an anisotropic plasma etch e.g., an RIE plasma etch with an oxygen-based chemistry would create an in-situ hardened mask 76 in the regions of portions 74 proximate to crown surface 70 .
  • regions 78 of substrate 32 in superimposition with protrusions 54 are exposed.
  • the width U′ of regions 78 are optimally equal to width W 2 , shown in FIG. 2 .
  • the advantages of this patterning process are manifold.
  • the relative etch rate differential between portions 74 and exposed surfaces 72 facilitates providing precise etch selectivity.
  • the dimensional width U′ of regions 78 may be precisely controlled, thereby reducing transfer distortions of the pattern into substrate 32 .
  • the resulting structure may be used as a mask to facilitate transfer of a pattern into substrate 32 .
  • the etch differential provided by hardened mask 76 and the portions of solidified imprinting layer 134 in superimposition therewith would provide an etch differential in the presence of a blanket etch. In this manner, regions 78 of substrate 32 would etch sooner than regions of substrate 32 in superimposition with hardened mask 76 .
  • the relational dimensions between the differing features of the pattern eventually transferred into substrate 32 may be controlled as desired.
  • the silicon-containing material may be spun-on as discussed above with respect to forming conformal layer 58 or may be deposited as a plurality of droplets discussed above with respect to imprinting layer 34 .
  • a planarizing mold 80 having a substantially smooth, if not planar, surface 82 is employed to contact normalization surface 62 , before solidification of the silicon-containing material in conformal layer 58 .
  • conformal layer 58 is provided with a normalized surface with respect to solidified imprinting layer 134 .
  • This is typically achieved by providing an optical flat which has sufficient area to concurrently planarize all regions of substrate 32 that includes silicon-containing material employed to form normalization layer 58 .
  • the silicon-containing material in conformal layer 58 is solidified and planarized mold 80 is separated from conformal layer 58 ; and the normalization surface 62 may be processed as discussed above to pattern the same and transfer a pattern into substrate 32 .
  • radiation source 22 may be selected to provide actinic radiation to both effectuate cross-linking using both infrared (IR) radiation and ultraviolet radiation.
  • An exemplary radiation source 22 may include multiple sources each of which produces a single range of wavelengths of radiation and is shown including two radiation sources 84 and 86 .
  • Radiation source 84 may be any known in the art capable of producing IR radiation
  • radiation source 86 may be any known in the art capable of producing actinic radiation employed to polymerize and cross-link material in droplets 38 , such as UV radiation.
  • a circuit (not shown) is in electrical communication with radiation sources 84 and 86 to selectively allow radiation in the UV and IR spectra to impinge upon substrate 32 .
  • radiation source 22 may include a single radiation source that produces multiple ranges of wavelength, which may be selectively controlled to impinge upon substrate 32 sequentially or concurrently.
  • An exemplary radiation source 22 consists of a single broad spectrum radiation source 90 that produces UV and IR radiation, which may consist of a mercury (Hg) lamp.
  • a filtering system 92 is utilized to selectively impinge differing types of radiation upon substrate 32 .
  • Filtering system 92 comprises a high pass filter (not shown) and a low pass filter (not shown), each in optical communication with radiation source 90 .
  • Filtering system 92 may position the high pass filter (not shown) such that optical path 88 comprises IR radiation or filtering system 92 may position the low pass filter (not shown) such that optical path 88 comprises UV radiation.
  • the high pass and low pass filters (not shown) may be any known in the art, such as interference filters comprising two semi-reflective coatings with a spacer disposed therebetween. The index of refraction and the thickness of the spacer determine the frequency band being selected and transmitted through the interference filter. Therefore, the appropriate index of refraction and thickness of the spacer is chosen for both the high pass filter (not shown) and the low pass filter (not shown), such that the high pass filter (not shown) permits passage of IR radiation and the low pass filter (not shown) permits passage of UV radiation.
  • a processor (not shown) is in data communication with radiation source 90 and filtering system 92 to selectively allow the desired wavelength of radiation to propagate along optical path 88 .
  • the circuit enables high pass filter (not shown) when IR radiation is desired and enables the low pass filter (not shown) when UV radiation is desired.
  • substrate 32 may have one or more existing layers disposed thereon before deposition of imprinting layer 34 .
  • heating the silicon-containing material may be problematic, because the material from which the wafer is formed and/or the preexisting layers on the wafer, e.g., solidified imprinting layer 134 , are substantially non-responsive to infrared radiation. As a result, very little energy transfer may occur, resulting in it being difficult to raise the temperature of the silicon-containing material sufficient to achieve cross-linking.
  • one of the layers included with substrate 32 may be an infrared absorption layer 94 .
  • Absorption layer 94 comprises a material that is excited when exposed to IR radiation and produces a localized heat source.
  • absorption layer 94 is formed from a material that maintains a constant phase state during the heating process, which may include a solid phase state. Specifically, the IR radiation impinging upon absorption layer 94 causes an excitation of the molecules contained therein, generating heat.
  • absorption layer 94 The heat generated in absorption layer 94 is transferred to the silicon-containing material via conduction through the wafer and/or any intervening layer of material thereon, e.g., absorption layer 94 may be disposed on surface 36 so as to be disposed between substrate 32 and solidified imprinting layer 134 .
  • absorption layer 94 and substrate 32 provide a bifurcated heat transfer mechanism that is able to absorb IR radiation and to produce a localized heat source sensed by the silicon-containing material in conformal layer 58 . In this manner, absorption layer 94 creates a localized heat sources on surface 36 .
  • absorption layer 94 may be deposited using any known technique, including spin-coating, chemical vapor deposition, physical vapor deposition, atomic layer deposition and the like.
  • absorption layer 94 may be disposed on a side of substrate 32 disposed opposite to solidified imprinting layer 134 . As a result, absorption layer 94 may be permanently or removably attached. Exemplary materials that may be employed as absorption layer 94 include black nickel and anodized black aluminum. Also, black chromium may be employed as absorption layer 94 . Black chromium is typically deposited as a mixture of oxides and is used as a coating for solar cells.
  • patterned mold 26 may be fabricated from any material, such as, but not limited to, fused-silica, quartz, silicon, organic polymers, siloxane polymers, borosilicate glass, fluorocarbon polymers, metal, and combinations of the above.
  • the actinic radiation propagates through patterned mold 26 . Therefore, it is desired that patterned mold 26 be fabricated from material that is substantially transparent to the actinic radiation.
  • the plurality of features on patterned mold 26 are shown as recesses 28 extending along a direction parallel to projections 30 that provide a cross-section of patterned mold 26 with a shape of a battlement.
  • recesses 28 and projections 30 may correspond to virtually any feature required to create an integrated circuit and may be as small as a few tenths of nanometers.
  • Primer layer 96 may be formed upon substrate 32 .
  • Primer layer 96 has proved beneficial when surface 36 of substrate 32 appears rough when compared to the features dimensions to be formed in imprinting layer 34 . Additionally, it has been found beneficial to deposit primer layer 96 when forming imprinting layer 34 upon a previously disposed patterned layer present on substrate 32 .
  • Primer layer 96 may also functions, inter alia, to provide a standard interface with imprinting layer 34 , thereby reducing the need to customize each process to the material from which substrate 32 is formed.
  • primer layer 96 may be formed from an organic material with the same etch characteristics as imprinting layer 34 .
  • Primer layer 96 is fabricated in such a manner so as to possess a continuous, smooth, relatively defect-free surface that may exhibit excellent adhesion to imprinting layer 34 .
  • An exemplary material to use to form primer layer 96 is available from Brewer Science, Inc. of Rolla, Mo. under the trade name DUV30J-6.
  • surface 50 may be treated with a low surface energy coating 98 .
  • Low surface energy coating 98 may be applied using any known process.
  • processing techniques may include chemical vapor deposition method, physical vapor deposition, atomic layer deposition or various other techniques, brazing and the like.
  • a low surface energy coating 198 may be applied to planarizing mold 94 , shown in FIG. 15 .
  • the surfactant has a surface energy associated therewith that is lower than a surface energy of the polymerizable material in the layer.

Abstract

The present invention includes a composition for a silicon-containing material used as an etch mask for underlying layers. More specifically, the silicon-containing material may be used as an etch mask for a patterned imprinted layer comprising protrusions and recessions. To that end, in one embodiment of the present invention, the composition includes a hydroxyl-functional silicone component, a cross-linking component, a catalyst component, and a solvent. This composition allows the silicon-containing material to selectively etch the protrusions and the segments of the patterned imprinting layer in superimposition therewith, while minimizing the etching of the segments in superposition with the recessions, and therefore allowing an in-situ hardened mask to be created by the silicon-containing material, with the hardened mask and the patterned imprinting layer forming a substantially planarized profile.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of U.S. patent application Ser. No. 11/508,765, filed Aug. 23, 2006, now allowed, which is a continuation-in-part of U.S. patent application Ser. No. 10/789,319, filed Feb. 27, 2004, now U.S. Pat. No. 7,122,079, each of which is incorporated by reference herein.
  • BACKGROUND OF THE INVENTION
  • The field of invention relates generally to micro-fabrication of structures. More particularly, the present invention is directed to formation of an etching mask comprising a silicon containing material used in semiconductor processing.
  • Micro-fabrication involves the fabrication of very small structures, e.g., having features on the order of micro-meters or smaller. One area in which micro-fabrication has had a sizeable impact is in the processing of integrated circuits. As the semiconductor processing industry continues to strive for larger production yields while increasing the circuits per unit area formed on a substrate, micro-fabrication becomes increasingly important. Micro-fabrication provides greater process control while allowing increased reduction of the minimum feature dimension of the structures formed. Other areas of development in which micro-fabrication has been employed include biotechnology, optical technology, mechanical systems and the like.
  • An exemplary micro-fabrication technique is shown in U.S. Pat. No. 6,334,960 to Willson et al. Willson et al. disclose a method of forming a relief image in a structure. The method includes providing a substrate having a transfer layer. The transfer layer is covered with a polymerizable fluid composition. An imprint device makes mechanical contact with the polymerizable fluid. The imprint device includes a relief structure formed from lands and grooves. The polymerizable fluid composition fills the relief structure, with the thickness of the polymerizable fluid in superimposition with the lands defining a residual thickness. The polymerizable fluid composition is then subjected to conditions to solidify and polymerize the same, forming a solidified polymeric material on the transfer layer that contains a relief structure complimentary to that of the imprint device. The imprint device is then separated from the solid polymeric material such that a replica of the relief structure in the imprint device is formed in the solidified polymeric material. The transfer layer and the solidified polymeric material are subjected to an environment to selectively etch the transfer layer relative to the solidified polymeric material such that a relief image is formed in the transfer layer. Thereafter, conventional etching processes may be employed to transfer the pattern of the relief structure into the substrate.
  • In recent trends in micro-fabrication of semiconductors, a silicon containing material has been utilized as a masking layer for underlying layers during etching. An example of utilizing silicon as a masking layer is found in U.S. Pat. No. 6,468,896 to Rohr et al., entitled “Method of Fabricating Semiconductor Components,” which discloses a method of depositing a silicon layer upon a metal layer, and selectively etching the silicon layer with the selectively etched silicon layer serving as a hard mask when etching of the metal layer occurs.
  • In another example, U.S. Patent Application Publication No. 2003/0235787 to Watts et al., entitled “Low Viscosity High Resolution Patterning Material,” discloses a method of forming a conformal layer upon a patterned layer with the conformal layer serving as a hard mask for the patterned layer during etching and the conformal layer being formed from a silicon-containing polymerized fluid.
  • It is desired, therefore, to provide an improved composition of the silicon-containing material used in imprint lithography processes.
  • SUMMARY OF THE INVENTION
  • The present invention includes a composition for a silicon-containing material used as an etch mask. More specifically, the silicon-containing material may be used as an etch mask for an imprinted layer comprising protrusions and recessions. To that end, in one embodiment of the present invention, the composition includes a solid silicone T-resin (also known as a silsesquioxane), a cross-linking agent, a catalyst, and a solvent. This composition allows the silicon-containing material to selectively etch the protrusions and the segments of the patterned imprinted layer in superimposition therewith, while minimizing the etching of the segments in superposition with the recessions, and therefore allowing an in-situ hardened mask to be created by the silicon-containing material, with the hardened mask and the imprinting layer forming a substantially planarized profile. In a further embodiment, the composition includes an epoxy-functional silane in addition to the aforementioned components. The epoxy-functional silane is added to improve the cross-linking conversion rate of the composition.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a perspective view of a lithographic system in accordance with the present invention;
  • FIG. 2 is a simplified elevation view of a lithographic system, shown in FIG. 1, employed to create a patterned imprinting layer in accordance with the present invention;
  • FIG. 3 is a simplified representation of material from which a patterned imprinting layer, shown in FIG. 2, is comprised before being polymerized and cross-linked in accordance with the present invention;
  • FIG. 4 is a simplified representation of cross-linked polymer material into which the material shown in FIG. 3 is transformed after being subjected to radiation in accordance with the present invention;
  • FIG. 5 is a simplified elevation view of an imprint device spaced-apart from the patterned imprinting layer, shown in FIG. 1, after patterning in accordance with the present invention;
  • FIG. 6 is a simplified elevation view of formation of a multi-layered structure on a solidified imprinting layer, shown in FIG. 5, by deposition of a conformal layer, adjacent to the patterned imprinting layer, employing a mold in accordance with one embodiment of the present invention;
  • FIG. 7 is a simplified elevation view after a blanket etch of the multi-layered structure, shown in FIG. 6, to format a crown surface in the conformal layer with portions of the patterned imprinting layer being exposed in accordance with one embodiment of the present invention;
  • FIG. 8 is a simplified elevation view of the multi-layered structure, shown in FIG. 7, after subjecting the crown surface to an anisotropic etch to expose regions of a substrate in accordance with the present invention;
  • FIG. 9 is a simplified elevation view showing planarization of a conformal layer employing a planarized mold in accordance with an alternate embodiment of the present invention;
  • FIG. 10 is a simplified plan view of a radiation source employed in the lithographic system shown in FIG. 1, depicting dual radiation sources;
  • FIG. 11 is a simplified plan view of a radiation source employed in the lithographic system shown in FIG. 1, depicting single radiation source;
  • FIG. 12 is a cross-sectional view of a substrate shown in FIGS. 1, 2, 5, 6, 7 and 8 showing an infra-red absorption layer in accordance with the present invention;
  • FIG. 13 is a cross-sectional view of a substrate shown in FIGS. 1, 2, 5, 6, 7 and 8 showing an infra-red absorption layer in accordance with an alternate embodiment of the present invention;
  • FIG. 14 is a cross-section view showing a release layer and a planarization layer that may be employed in accordance with the present invention; and
  • FIG. 15 is a cross-section view showing a release layer applied to a planarization mold shown in FIG. 13.
  • DETAILED DESCRIPTION OF THE INVENTION
  • FIG. 1 depicts a lithographic system 10 in accordance with one embodiment of the present invention that includes a pair of spaced-apart bridge supports 12 having a bridge 14 and a stage support 16 extending therebetween. Bridge 14 and stage support 16 are spaced-apart. Coupled to bridge 14 is an imprint head 18, which extends from bridge 14 toward stage support 16. Disposed upon stage support 16 to face imprint head 18 is a motion stage 20. Motion stage 20 is configured to move with respect to stage support 16 along X and Y axes and may provide movement along the Z axis as well. A radiation source 22 is coupled to system 10 to impinge actinic radiation upon motion stage 20. As shown, radiation source 22 is coupled to bridge 14 and includes a power generator 23 connected to radiation source 22.
  • Referring to both FIGS. 1 and 2, connected to imprint head 18 is a template 24 having a patterned mold 26 thereon. Patterned mold 26 includes a plurality of features defined by a plurality of spaced-apart recesses 28 and projections 30. Projections 30 have a width W1 and recesses 28 have a width W2, both of which are measured in a direction that extends transversely to the Z axis. The plurality of features defines an original pattern that forms the basis of a pattern to be transferred into a substrate 32 positioned on motion stage 20. To that end, imprint head 18 is adapted to move along the Z axis and vary a distance “d” between patterned mold 26 and substrate 32. Alternatively, or in conjunction with imprint head 18, motion stage 20 may move template 24 along the Z-axis. In this manner, the features on patterned mold 26 may be imprinted into a flowable region of substrate 32, discussed more fully below. Radiation source 22 is located so that patterned mold 26 is positioned between radiation source 22 and substrate 32. As a result, patterned mold 26 is fabricated from material that allows it to be substantially transparent to the radiation produced by radiation source 22. An exemplary system is available under the trade name IMPRIO 100™ from Molecular Imprints, Inc. having a place of business at 1807-C Braker Lane, Suite 100, Austin, Tex. 78758. The system description for the IMPRIO 100™ is available at www.molecularimprints.com and is incorporated herein by reference.
  • Referring to both FIGS. 2 and 3, a flowable region, such as an imprinting layer 34, is disposed on a portion of surface 36 that presents a substantially planar profile. In the present embodiment, the flowable region is deposited as a plurality of spaced-apart discrete droplets 38 of material 40 on substrate 32, discussed more fully below. Material 40 is substantially silicon-free and may be selectively polymerized and cross-linked to record an inverse of the original pattern therein, defining a recorded pattern. Material 40 is shown in FIG. 4 as being cross-linked at points 42, forming cross-linked polymer material 44.
  • Referring to FIGS. 2, 3 and 5, the pattern recorded in imprinting layer 34 is produced, in part, by mechanical contact with patterned mold 26. To that end, the distance “d” is reduced to allow imprinting layer 34 to come into mechanical contact with patterned mold 26, spreading droplets 38 so as to form imprinting layer 34 with a contiguous formation of material 40 over surface 36. In one embodiment, distance “d” is reduced to allow sub-portions 46 of imprinting layer 34 to ingress into and fill recesses 28.
  • In the present embodiment, sub-portions 48 of imprinting layer 34 in superimposition with projections 30 remain after the desired, usually minimum distance “d”, has been reached, leaving sub-portions 46 with a thickness t1, and sub-portions 48 with a thickness, t2. Thickness t2 is referred to as a residual thickness. Thicknesses “t1” and “t2” may be any thickness desired, dependent upon the application. The total volume contained in droplets 38 may be such so as to minimize, or avoid, a quantity of material 40 from extending beyond the region of surface 36 in superimposition with patterned mold 26, while obtaining desired thicknesses t1 and t2.
  • Referring to FIGS. 2, 3, and 4, after a desired distance “d” has been reached, radiation source 22 produces actinic radiation that polymerizes and cross-links material 40, forming cross-linked polymer material 44. As a result, the composition of imprinting layer 34 transforms from material 40 to material 44, which is a solid. Specifically, material 44 is solidified to form solidified imprinting layer 134 with a side having a shape that conforms to a shape of a surface 50 of patterned mold 26, shown more clearly in FIG. 5. As a result, solidified imprinting layer 134 is formed having recessions 52 and protrusions 54. After formation of solidified imprinting layer 134, distance “d” is increased so that patterned mold 26 and solidified imprinting layer 134 are spaced-apart. Typically, this process is repeat several times to pattern different regions (not shown) of substrate 32, referred to as a step and repeat process. An exemplary step and repeat process is disclosed in U.S. Pat. No. 6,900,881, which assigned to assignee of the present invention and is incorporated by reference.
  • Referring to FIGS. 1, 2 and 3, the characteristics of material 40 are important to efficiently pattern substrate 32 in light of the unique deposition process employed. As mentioned above, material 40 is deposited on substrate 32 as a plurality of discrete and spaced-apart droplets 38. The combined volume of droplets 38 is such that the material 40 is distributed appropriately over an area of surface 36 where imprinting layer 34 is to be formed. In this fashion, the total volume of imprinting material 40 in droplets 38 defines the distance “d”, to be obtained so that the total volume occupied by the material 40 in the gap defined between patterned mold 26 and the portion of substrate 32 in superimposition therewith once the desired distance “d” is reached is substantially equal to the total volume of material 40 in droplets 38. As a result, imprinting layer 34 is spread and patterned concurrently, with the pattern being subsequently set by exposure to radiation, such as ultraviolet radiation. To facilitate the deposition process, it is desired that material 40 have certain characteristics to provide rapid and even spreading of material 40 in droplets 38 over surface 36 so that the all thicknesses t2 are substantially uniform and all residual thicknesses t2 are substantially uniform.
  • An exemplary composition for material 40 is silicon-free and consists of the following:
  • Composition 1 isobornyl acrylate n-hexyl acrylate ethylene glycol diacrylate 2-hydroxy-2-methyl-1-phenyl-propan-1-one
  • In COMPOSITION 1, isobornyl acrylate comprises approximately 55% of the composition, n-hexyl acrylate comprises approximately 27%, ethylene glycol diacrylate comprises approximately 15% and the initiator 2-hydroxy-2-methyl-1-phenyl-propan-1-one comprises approximately 3%. The initiator is sold under the trade name DAROCUR® 1173 by CIBA® of Tarrytown, N.Y. The above-identified composition also includes stabilizers that are well known in the chemical art to increase the operational life of the composition. To provide suitable release properties, COMPOSITION 1 may be employed with a template treated to have a mold surface that is hydrophobic and/or low surface energy, e.g., an a priori release layer.
  • Referring to FIGS. 3 and 5, to improve the release properties of patterned mold 26 and solidified imprinting layer 134 and to ensure that solidified imprinting layer 134 does not adhere to patterned mold 26, an additive may be included in COMPOSITION 1. To that end, material 40 may include, as an additive, a surfactant. For purposes of this invention a surfactant is defined as any molecule, one tail of which is hydrophobic. Surfactants may be either fluorine containing, e.g., include a fluorine chain, or may not include any fluorine in the surfactant molecule structure. An exemplary surfactant is available under the trade name ZONYL® FSO-100 from DUPONT™ that has a general structure of R1R2 where R1═F(CF2CF2)Y, with y being in a range of 1 to 7, inclusive and R2═CH2CH2—O—(CH2CH2O)XH, where X is in a range of 0 to 15, inclusive. This provides material 40 with the following composition:
  • Composition 2 isobornyl acrylate n-hexyl acrylate ethylene glycol diacrylate 2-hydroxy-2-methyl-1-phenyl-propan-1-one RfCH2CH2O(CH2CH2O)XH,
  • The ZONYL® FSO-100 additive comprises less than 1% of the composition, with the relative amounts of the remaining components being as discussed above with respect to COMPOSITION 1. However, the percentage of ZONYL® FSO-100 may be greater than 1%.
  • Referring to FIGS. 5 and 6, to facilitate transferring of the pattern in patterned mold 26 into substrate 32, a multi-layered structure 56 is generated by formation of a silicon-containing conformal layer 58 adjacent to solidified imprinting layer 134. To that end, silicon-containing material is deposited adjacent to solidified imprinting layer 134. Specifically, a silicon-containing material may be deposited adjacent to solidified imprinting layer 134 using any known technique to form conformal layer 58, such as the technique discussed above with respect to deposition of material 40. Alternatively, the silicon-containing material may be deposited adjacent to solidified imprinting layer 134 employing spin-coating techniques.
  • In an exemplary technique for forming conformal layer 58, silicon-containing material is deposited adjacent to solidified imprinting layer 134 using spin-coating techniques and subsequently thermally curing the silicon-containing material to form conformal layer 58. To that end, exemplary material that may be employed to form conformal layer 58 includes solid silicone T-resin, a cross-linking agent, a catalyst, and a solvent.
  • The solid silicone T-resin, also known as silsesquioxane, is process compatible, satisfying ionic, purity, and by-product contamination requirements desired. The cross-linking agent is included to cross-link the silicone resin, providing conformal layer 58 with the properties to record a pattern thereon having very small feature sizes, i.e., on the order of a few nanometers. To that end, the catalyst is provided to produce a condensation reaction in response to thermal energy, e.g., heat, causing the silicone resin and the cross-linking agent to polymerize and cross-link, forming a cross-linked polymer material. The solvent selected is compatible with the silicone resin and represents the remaining balance of the silicon-containing material. It is desired that the solvent minimize, if not avoid, causing distortions in solidified imprinting layer 134 due, for example, to swelling of solidified imprinting layer 134.
  • The silicone T-resin can be any alkyl and/or aryl substituted silsesquioxane, copolymer, blend or mixture thereof. Such silicone T-resins have the general formula RSiO1.5 and, in some embodiments, R is selected from the group consisting of hydroxyl, methyl, phenyl, propyl, and combinations thereof. Examples of a silicone T-resin include ultraviolet (UV) curable sol-gels, UV curable epoxy-functionalized silsesquioxane, UV curable acrylate-functionalized silsesquioxane, and UV curable silsesquioxane via thiolene chemistry; and non-cured materials such as hydrogen silsesquioxane, and poly(meth)acrylate/siloxane copolymers. Preferably, a hydroxyl-functional polysiloxane is used such as a hydroxyl-functional silsesquioxane, where such species can further comprise organic substitute groups, with examples of such organic substitute groups including, but not limited to, methyl, phenyl, propyl and combinations thereof. The silicone T-resin may be present in the silicon-containing composition in amounts of approximately 2 to 40% by weight, depending on the thicknesses desired for conformal layer 58. Examples of hydroxyl-functional silsesquioxanes used in the present invention are silicon T-resin intermediates available from Dow Corning® (Midland, Mich.) under the trade names Z-6018 and 217 flake resin.
  • The cross-linking agent is a compound that includes two or more polymerizable groups. The cross-linking agent may be present in the silicon-containing composition in amounts of approximately 2 to 50% by weight in relation to the quantity of silicone resin present. Typically, the cross-linking agent is present in the silicon-containing composition in an amount of approximately 20 to 30%. An example of a cross-linking agent used in the present invention is a hexamethoxymethylmelamine (HMMM) based aminoplast cross-linking agent available from Cytec Industries, Inc. (West Paterson, N.J.) under the trade name CYMEL 303ULF.
  • The catalyst may be any component that catalyzes a condensation reaction. Suitable catalysts may include, but are not limited to, acidic compounds such as sulfonic acid. The catalyst may be present in the silicon-containing material in amounts of approximately 0.05% to 5% by weight in relation to the silicone resin present. Typically, the catalyst is present in the silicon-containing material in an amount of approximately 1 to 2%. An example of a catalyst used in the present invention is toluenesulfonic acid available from Cytec Industries, Inc. (West Paterson, N.J.) under the trade name CYCAT 4040.
  • For the balance of the composition, a solvent is utilized. The solvent can be any solvent or combination of solvents that satisfies several criteria. As mentioned above, the solvent should not cause solidified imprinting layer 134 to swell. In addition, the evaporation rate of the solvent should be established so that a desired quantity of the solvent evaporates as a result of the spin-coating process while providing sufficient viscosity to facilitate planarization of silicon-containing material in furtherance of forming conformal layer 58. Suitable solvents may include, but are not limited to, alcohol, ether, a glycol or glycol ether, a ketone, an ester, an acetate and mixtures thereof. The solvent may be present in the silicon-containing material used to form conformal layer 58 in amounts of approximately 60 to 98% by weight, dependent upon the desired thicknesses of conformal layer 58. Examples of solvents used in the present invention are methyl amyl ketone (MAK) and propylene glycol methyl ether acetate available from Aldrich Co. (St. Louis, Mo.).
  • In a further embodiment, the composition of conformal layer 58 is altered to include an epoxy-functional silane coupling agent to improve the cross-linking reaction and improve the rate of cross-linking. Examples of epoxy-functional silanes may include glycidoxymethyltrimethoxysilane,
  • 3-glycidoxypropyltrihydroxysilane,
    3-glycidoxypropyldimethylhydroxysilane,
    3-glycidoxypropyltrimethoxysilane,
    2,3-epoxypropyltrimethoxysilane, and the like. The epoxy-functional silane may be present in conformal layer 58 in amounts of approximately 2 to 30% by weight of silicon-containing compound in relation to the silicone resin and typically in an amount of 5 to 10%. An example of epoxy-functional silane used in the present invention is gamma-glycidoxypropyltrimethoxysilane available from GE Silicone/OSi Specialty (Wilton, Conn.) under the trade name A187.
  • Exemplary compositions from which to form conformal layer 58 are as follows:
  • Composition 3 hydroxyl-functional polysiloxane hexamethoxymethylmelamine toluenesulfonic acid methyl amyl ketone Composition 4 hydroxyl-functional polysiloxane hexamethoxymethylmelamine gamma-glycidoxypropyltrimethoxysilane toluenesulfonic acid methyl amyl ketone Composition 5 hydroxyl-functional silsesquioxane hexamethoxymethylmelamine toluene sulfonic acid propylene glycol methyl ether acetate
  • In COMPOSITION 3, hydroxyl-functional polysiloxane, Z-6018, comprises approximately 4% of the composition, hexamethoxymethylmelamine comprises approximately 0.95%, toluenesulfonic acid comprises approximately 0.05% and methyl amyl ketone comprises approximately 95%. In COMPOSITION 4, hydroxyl-functional polysiloxane, Z-6018, comprises approximately 4% of the composition, hexamethoxymethylmelamine comprises approximately 0.7%, gamma-glycidoxypropyltrimethoxysilane comprises approximately 0.25%, toluenesulfonic acid comprises approximately 0.05%, and methyl amyl ketone comprises approximately 95%. In COMPOSITION 5, hydroxyl-functional silsesquioxane, Dow Corning 217 resin, comprises approximately 8% of the composition, hexamethoxymethylmelamine comprises approximately 1.8%, toluene sulfonic acid comprises approximately 0.2%, and propylene glycol methyl ether acetate comprises approximately 90%.
  • COMPOSITIONS 3, 4 and 5 are made up of at least 4% of the silicone resin. Upon curing, however, the quantity of silicon present in conformal layer 58 is at least 5% by weight and typically in a range of 20% or greater. Specifically, the quantity and composition of the solvent present in COMPOSITIONS 3, 4 and 5 is selected so that a substantial portion of the solvent evaporates during spin-coating application of the COMPOSITION 3, 4 or 5 on solidified imprinting layer 134. In the present exemplary silicon-containing material, approximately 90% of the solvent evaporates during spin-coating. Upon exposing the silicon-containing material to thermal energy, the remaining 10% of the solvent evaporates, leaving conformal layer 58 with approximately 20% silicon by weight.
  • An exemplary method of forming conformal layer 58 includes spinning-on approximately 4 mL of the silicon-containing material deposited proximate to a center of solidified imprinting layer 134. To that end, substrate 32 is spun at 1000 rev/min for 1 min by placing substrate 32 on a hot plate. Thereafter, the silicon-containing material is subjected to thermal energy by baking at 150° C. for 1 min. This produces the silicon-containing material from which conformal layer 58 is formed, with thickness variations of 20 nm or less. Were it desired to increase the thickness of the solidified silicon-containing layer, e.g., to provide the solidified silicon-containing layer with a thickness of 200 nm, the aforementioned spin-coating and curing processes are simply repeated. As a result, the solvent employed is selected so as not to remove, “wash away,” silicon-containing material in a well-cured conformal layer 58.
  • Referring to FIGS. 5 and 6, the spin-coating and curing processes, conformal layer 58 includes first and second opposed sides. First side 60 faces imprinting layer 134 and has a profile complementary to the profile of the imprinting layer 134. The second side faces away from imprinting layer 134 forming a normalization surface 62, which is substantially smooth and typically planar. In this manner, normalization surface 62 provides a solidified conformal layer 58 with a substantially normalized profile. It is believed that normalization surface 62 is provided with a smooth, e.g., substantially planar, topography by ensuring that COMPOSITIONS 3, 4 and 5 have a glass transition temperature lower than the curing temperature. Specifically, it is desired that the temperature difference between the glass transition temperature and the curing temperature be sufficient to allow the silicon-containing material to reflow during curing to maximize smoothness, e.g., planarity of normalization surface 62 in a minimum amount of time. For example, the COMPOSITIONS 3, 4 and 5 each have a glass transition temperature in the range of from approximately 50° C. to 80° C. and a curing temperature of 150° C. As a result, of the topography of normalization surface 62, the distances, k2, k4, k6, k8 and k10, between the apex 64 of each of the protrusions 54 and normalization surface 62 are substantially the same. Similarly, the distance, k1, k3, k5, k7, k9 and k11 between a nadir surface 66 of each of the recessions 52 and normalization surface 62 are substantially the same.
  • Referring to FIGS. 6 and 7, after formation of the normalization surface 62, a blanket etch is employed to remove portions of conformal layer 58 to provide multi-layered structure 56 with a crown surface 70. For example and without limitation, the blanket etch may be achieved in a system available from LAM Research 9400SE obtained from Lam Research, Inc. of Fremont, Calif. In this manner, normalization surface 62 is subjected to an isotropic halogen reactive ion etch (“RIE”) rich in fluorine, i.e., wherein at least one of the precursors was a fluorine-containing material, for example, and without limitation, a combination of CHF3 and O2. Other suitable halogen compounds include, for example, and without limitation, CF4. It is desirable that oxygen be absent from the plasma chemistry. Normalization surface 62 is subjected to the blanket etch sufficient to expose crown surface 70.
  • Crown surface 70 is defined by an exposed surface 72 of each of protrusions 54 and upper surfaces of portions 74 that remain on conformal layer 58 after the blanket etch. The composition of conformal layer 58 is such that when the blanket etch is applied to conformal layer 58, crown surface 70 is provided with a substantially planar profile. That is, the thickness of protrusions 54, shown as “a”, is substantially the same as the thickness of portions 74, shown as “b”. An exemplary blanket etch may be a plasma etch process employing a fluorine-based chemistry.
  • Referring to FIGS. 7 and 8, crown surface 70 is subjected to an anisotropic etch. The etch chemistry of the anisotropic etch is selected to maximize etching of protrusions 54 and the segments of imprinting layer 134, in superimposition therewith, while minimizing etching of the portions 74 in superimposition with recessions 52. In the present example, advantage was taken of the distinction of the silicon content between the imprinting layer 134 and the conformal layer 58. Specifically, employing an anisotropic plasma etch, e.g., an RIE plasma etch with an oxygen-based chemistry would create an in-situ hardened mask 76 in the regions of portions 74 proximate to crown surface 70. This results from the interaction of the silicon-containing polymerizable material with the oxygen plasma. As a result of the hardened mask 76 and the anisotropicity of the etch process, regions 78 of substrate 32 in superimposition with protrusions 54 are exposed. The width U′ of regions 78 are optimally equal to width W2, shown in FIG. 2.
  • Referring to FIGS. 2, 7 and 8, the advantages of this patterning process are manifold. For example, the relative etch rate differential between portions 74 and exposed surfaces 72 facilitates providing precise etch selectivity. As a result, the dimensional width U′ of regions 78 may be precisely controlled, thereby reducing transfer distortions of the pattern into substrate 32. The resulting structure may be used as a mask to facilitate transfer of a pattern into substrate 32. Specifically, the etch differential provided by hardened mask 76 and the portions of solidified imprinting layer 134 in superimposition therewith would provide an etch differential in the presence of a blanket etch. In this manner, regions 78 of substrate 32 would etch sooner than regions of substrate 32 in superimposition with hardened mask 76. By properly selecting materials and etch chemistries, the relational dimensions between the differing features of the pattern eventually transferred into substrate 32 may be controlled as desired. For example, it was found beneficial to include an oxygen plasma etch after the fluorine etch and before the oxygen etch. Specifically, the etch selectivity during the oxygen plasma etch was improved. It is believed that residual fluorine is present on normalization surface 62 and that the Argon etch removes the residual fluorine, thereby further reducing the fluorine available during the oxygen plasma etch.
  • It has been found that additional planarization may be desired when forming conformal layer 58, shown in FIG. 6, when features of sub ten micron dimension are to be transferred into substrate 32. To that end, as shown in FIGS. 2 and 9, the silicon-containing material may be spun-on as discussed above with respect to forming conformal layer 58 or may be deposited as a plurality of droplets discussed above with respect to imprinting layer 34. After deposition of the silicon-containing material, a planarizing mold 80 having a substantially smooth, if not planar, surface 82 is employed to contact normalization surface 62, before solidification of the silicon-containing material in conformal layer 58. In this manner, conformal layer 58 is provided with a normalized surface with respect to solidified imprinting layer 134. This is typically achieved by providing an optical flat which has sufficient area to concurrently planarize all regions of substrate 32 that includes silicon-containing material employed to form normalization layer 58. Thereafter, the silicon-containing material in conformal layer 58 is solidified and planarized mold 80 is separated from conformal layer 58; and the normalization surface 62 may be processed as discussed above to pattern the same and transfer a pattern into substrate 32.
  • Referring to both FIGS. 2, 6 and 10, it may be desired to implement a step and repeat planarization process when forming normalization layer 58. To that end, radiation source 22 may be selected to provide actinic radiation to both effectuate cross-linking using both infrared (IR) radiation and ultraviolet radiation. An exemplary radiation source 22 may include multiple sources each of which produces a single range of wavelengths of radiation and is shown including two radiation sources 84 and 86. Radiation source 84 may be any known in the art capable of producing IR radiation, and radiation source 86 may be any known in the art capable of producing actinic radiation employed to polymerize and cross-link material in droplets 38, such as UV radiation. Specifically, radiation produced by either of sources 84 and 86 propagates along optical path 88 toward substrate 32. A circuit (not shown) is in electrical communication with radiation sources 84 and 86 to selectively allow radiation in the UV and IR spectra to impinge upon substrate 32.
  • Referring to FIG. 11, alternatively, radiation source 22 may include a single radiation source that produces multiple ranges of wavelength, which may be selectively controlled to impinge upon substrate 32 sequentially or concurrently. An exemplary radiation source 22 consists of a single broad spectrum radiation source 90 that produces UV and IR radiation, which may consist of a mercury (Hg) lamp. To selectively impinge differing types of radiation upon substrate 32, a filtering system 92 is utilized. Filtering system 92 comprises a high pass filter (not shown) and a low pass filter (not shown), each in optical communication with radiation source 90. Filtering system 92 may position the high pass filter (not shown) such that optical path 88 comprises IR radiation or filtering system 92 may position the low pass filter (not shown) such that optical path 88 comprises UV radiation. The high pass and low pass filters (not shown) may be any known in the art, such as interference filters comprising two semi-reflective coatings with a spacer disposed therebetween. The index of refraction and the thickness of the spacer determine the frequency band being selected and transmitted through the interference filter. Therefore, the appropriate index of refraction and thickness of the spacer is chosen for both the high pass filter (not shown) and the low pass filter (not shown), such that the high pass filter (not shown) permits passage of IR radiation and the low pass filter (not shown) permits passage of UV radiation. A processor (not shown) is in data communication with radiation source 90 and filtering system 92 to selectively allow the desired wavelength of radiation to propagate along optical path 88. The circuit enables high pass filter (not shown) when IR radiation is desired and enables the low pass filter (not shown) when UV radiation is desired.
  • Referring to FIG. 12, substrate 32 may have one or more existing layers disposed thereon before deposition of imprinting layer 34. As a result, heating the silicon-containing material may be problematic, because the material from which the wafer is formed and/or the preexisting layers on the wafer, e.g., solidified imprinting layer 134, are substantially non-responsive to infrared radiation. As a result, very little energy transfer may occur, resulting in it being difficult to raise the temperature of the silicon-containing material sufficient to achieve cross-linking.
  • To facilitate cross-linking of the silicon-containing material in conformal layer 58, one of the layers included with substrate 32 may be an infrared absorption layer 94. Absorption layer 94 comprises a material that is excited when exposed to IR radiation and produces a localized heat source. Typically, absorption layer 94 is formed from a material that maintains a constant phase state during the heating process, which may include a solid phase state. Specifically, the IR radiation impinging upon absorption layer 94 causes an excitation of the molecules contained therein, generating heat. The heat generated in absorption layer 94 is transferred to the silicon-containing material via conduction through the wafer and/or any intervening layer of material thereon, e.g., absorption layer 94 may be disposed on surface 36 so as to be disposed between substrate 32 and solidified imprinting layer 134. As a result, absorption layer 94 and substrate 32 provide a bifurcated heat transfer mechanism that is able to absorb IR radiation and to produce a localized heat source sensed by the silicon-containing material in conformal layer 58. In this manner, absorption layer 94 creates a localized heat sources on surface 36. To that end, absorption layer 94 may be deposited using any known technique, including spin-coating, chemical vapor deposition, physical vapor deposition, atomic layer deposition and the like. Exemplary materials that may be formed from a carbon based PVD coating, organic thermo set coating with carbon black filler or molybdenum disulfide (MoS2) based coating.
  • Referring to FIG. 13, absorption layer 94 may be disposed on a side of substrate 32 disposed opposite to solidified imprinting layer 134. As a result, absorption layer 94 may be permanently or removably attached. Exemplary materials that may be employed as absorption layer 94 include black nickel and anodized black aluminum. Also, black chromium may be employed as absorption layer 94. Black chromium is typically deposited as a mixture of oxides and is used as a coating for solar cells.
  • Furthermore, as shown in FIG. 2, patterned mold 26 may be fabricated from any material, such as, but not limited to, fused-silica, quartz, silicon, organic polymers, siloxane polymers, borosilicate glass, fluorocarbon polymers, metal, and combinations of the above. However, it the present embodiment, the actinic radiation propagates through patterned mold 26. Therefore, it is desired that patterned mold 26 be fabricated from material that is substantially transparent to the actinic radiation. The plurality of features on patterned mold 26 are shown as recesses 28 extending along a direction parallel to projections 30 that provide a cross-section of patterned mold 26 with a shape of a battlement. However, recesses 28 and projections 30 may correspond to virtually any feature required to create an integrated circuit and may be as small as a few tenths of nanometers.
  • Referring to FIGS. 2 and 14, similarly, it may be desirable to provide substrate 32 with a planarized surface upon which to forming imprinting layer 34. To that end, a primer layer 96 may be formed upon substrate 32. Primer layer 96 has proved beneficial when surface 36 of substrate 32 appears rough when compared to the features dimensions to be formed in imprinting layer 34. Additionally, it has been found beneficial to deposit primer layer 96 when forming imprinting layer 34 upon a previously disposed patterned layer present on substrate 32. Primer layer 96 may also functions, inter alia, to provide a standard interface with imprinting layer 34, thereby reducing the need to customize each process to the material from which substrate 32 is formed. In addition, primer layer 96 may be formed from an organic material with the same etch characteristics as imprinting layer 34. Primer layer 96 is fabricated in such a manner so as to possess a continuous, smooth, relatively defect-free surface that may exhibit excellent adhesion to imprinting layer 34. An exemplary material to use to form primer layer 96 is available from Brewer Science, Inc. of Rolla, Mo. under the trade name DUV30J-6.
  • Referring to FIGS. 5 and 14, to reduce the probability that solidified imprinting layer 134 does not adhere to patterned mold 26, surface 50 may be treated with a low surface energy coating 98. Low surface energy coating 98 may be applied using any known process. For example, processing techniques may include chemical vapor deposition method, physical vapor deposition, atomic layer deposition or various other techniques, brazing and the like. In a similar fashion a low surface energy coating 198 may be applied to planarizing mold 94, shown in FIG. 15. Typically, the surfactant has a surface energy associated therewith that is lower than a surface energy of the polymerizable material in the layer. An exemplary material and process by which to form the aforementioned surfactant is discussed by Bender et al. in MULTIPLE IMPRINTING IN UV-BASED NANOIMPRINT LITHOGRAPHY: RELATED MATERIAL ISSUES, Microelectronic Engineering pp. 61-62 (2002). The low surface energy of the surfactant provides the desired release properties to reduce adherence of either imprinting layer 34 or conformal layer 58 to patterned mold 26. It should be understood that the surfactant may be used in conjunction with, or in lieu of, low surface energy coatings 98 and 198.
  • The embodiments of the present invention described above are exemplary. Many changes and modifications may be made to the disclosure recited above, while remaining within the scope of the invention. The scope of the invention should, therefore, be determined not with reference to the above description, but instead should be determined with reference to the appended claims along with their full scope of equivalents.

Claims (20)

1. A hard mask composition for imprint lithography, the composition comprising:
a solid hydroxyl-functional silicone T-resin having the general formula RSiO1.5, wherein R is selected from the group consisting of hydroxyl, methyl, phenyl, propyl, and combinations thereof;
a cross-linker;
a catalyst; and
a solvent,
wherein the catalyst catalyzes a condensation reaction between the cross-linker and the silicone T-resin to form Si—O—C bonds in a cross-linked polymer material in response to thermal energy, and
wherein the hard mask composition is curable at a temperature of 150° C., and a glass transition temperature of the hard mask composition is at least about 50° C.
2. The hard mask composition of claim 1, wherein the glass transition temperature of the hard mask composition is between about 50° C. and about 80° C.
3. The hard mask composition of claim 1, wherein the glass transition temperature is less than 150° C.
4. The hard mask composition of claim 1, wherein silicon atoms of the silicone T-resin component comprise at least 5% by weight of the cross-linked polymer material.
5. The hard mask composition of claim 1, wherein the silicon atoms in the composition comprise at least 5% by weight of the silicone T-resin, the cross-linker, and the catalyst.
6. The hard mask composition of claim 1, wherein the silicone T-resin is approximately 2 to 40% by weight of the composition, the cross-linker is approximately 20 to 30% by weight of the composition, the catalyst is approximately 0.05 to 5% by weight of the silicone T-resin, and the solvent is approximately 60 to 98% by weight of the composition.
7. The hard mask composition of claim 1, wherein the cross-linker comprises an aminoplast crosslinker.
8. The hard mask composition of claim 1, wherein the cross-linker comprises hexamethoxymethylmelamine.
9. The hard mask composition of claim 1, wherein the catalyst comprises an acidic compound.
10. The hard mask composition of claim 9, wherein the catalyst comprises toluenesulfonic acid.
11. The hard mask composition of claim 1, wherein the solvent is selected from the group consisting of alcohols, ethers, glycols, glycol ethers, ketones, esters, and acetates.
12. The hard mask composition of claim 11, wherein the solvent is propylene glycol methyl ether acetate or methyl amyl ketone.
13. The hard mask composition of claim 1, further comprising an epoxy-functional silane.
14. The hard mask composition of claim 13, wherein the epoxy-functional silane is selected from the group consisting of glycidoxypropyltrihydroxysilane,
3-glycidoxy-propyldimethylhydroxysilane,
3-glycidoxypropyltrimethoxysilane,
2,3-epoxypropyl-trimethoxy-silane, and
gamma-glycidoxypropyltrimethoxysilane.
15. A substrate having coated thereon the hard mask composition of claim 1, wherein after coating the substrate and curing the composition, the silicon atom content in the coating is in a range of about 10 to 20% by weight.
16. A substrate having coated thereon the hard mask composition of claim 1, wherein after coating the substrate and curing the composition, the silicon atom content in the coating is greater than 20% by weight.
17. A nanoimprint lithography method for forming a hard mask layer on a surface, the method comprising:
depositing a hard mask composition on the surface, the hard mask composition comprising:
a solid hydroxyl-functional silicone T-resin having the general formula RSiO1.5, wherein R is selected from the group consisting of hydroxyl, methyl, phenyl, propyl, and combinations thereof;
a cross-linker;
a catalyst; and
a solvent; and
curing the hard mask composition at a temperature above the glass transition temperature of the hard mask composition, wherein the glass transition temperature of the hard mask material is at least about 50° C.,
wherein the catalyst catalyzes a condensation reaction between the cross-linker and the silicone T-resin to form a cross-linked polymer material in response to thermal energy.
18. The method of claim 17, wherein the glass transition temperature of the hard mask composition is between about 50° C. and about 80° C.
19. The method of claim 17, wherein the hard mask composition is curable at a temperature of about 150° C.
20. The method of claim 17, wherein silicon atoms of the silicone T-resin comprise at least 5% by weight of the cross-linked polymer material.
US13/029,805 2004-02-27 2011-02-17 Composition for an Etching Mask Comprising a Silicon-Containing Material Abandoned US20110140306A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/029,805 US20110140306A1 (en) 2004-02-27 2011-02-17 Composition for an Etching Mask Comprising a Silicon-Containing Material

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/789,319 US7122079B2 (en) 2004-02-27 2004-02-27 Composition for an etching mask comprising a silicon-containing material
US11/508,765 US7906180B2 (en) 2004-02-27 2006-08-23 Composition for an etching mask comprising a silicon-containing material
US13/029,805 US20110140306A1 (en) 2004-02-27 2011-02-17 Composition for an Etching Mask Comprising a Silicon-Containing Material

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/508,765 Continuation US7906180B2 (en) 2004-02-27 2006-08-23 Composition for an etching mask comprising a silicon-containing material

Publications (1)

Publication Number Publication Date
US20110140306A1 true US20110140306A1 (en) 2011-06-16

Family

ID=46328329

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/508,765 Active 2024-12-04 US7906180B2 (en) 2004-02-27 2006-08-23 Composition for an etching mask comprising a silicon-containing material
US13/029,805 Abandoned US20110140306A1 (en) 2004-02-27 2011-02-17 Composition for an Etching Mask Comprising a Silicon-Containing Material

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/508,765 Active 2024-12-04 US7906180B2 (en) 2004-02-27 2006-08-23 Composition for an etching mask comprising a silicon-containing material

Country Status (1)

Country Link
US (2) US7906180B2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100098940A1 (en) * 2008-10-20 2010-04-22 Molecular Imprints, Inc. Nano-Imprint Lithography Stack with Enhanced Adhesion Between Silicon-Containing and Non-Silicon Containing Layers
US8889332B2 (en) 2004-10-18 2014-11-18 Canon Nanotechnologies, Inc. Low-K dielectric functional imprinting materials

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7906180B2 (en) * 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US20120128891A1 (en) 2009-07-29 2012-05-24 Nissan Chemical Industries, Ltd. Composition for forming resist underlayer film for nanoimprint
US8829514B2 (en) 2011-12-14 2014-09-09 E Ink Holdings Inc. Thin film transistor and method for manufacturing the same
US10727083B1 (en) 2019-02-25 2020-07-28 Applied Materials, Inc. Method for via formation in flowable epoxy materials by micro-imprint
US11656546B2 (en) 2020-02-27 2023-05-23 Canon Kabushiki Kaisha Exposure apparatus for uniform light intensity and methods of using the same
US11443940B2 (en) * 2020-06-24 2022-09-13 Canon Kabushiki Kaisha Apparatus for uniform light intensity and methods of using the same

Citations (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3912670A (en) * 1973-02-28 1975-10-14 O Brien Corp Radiation curable can coating composition
US4517337A (en) * 1984-02-24 1985-05-14 General Electric Company Room temperature vulcanizable organopolysiloxane compositions and method for making
US5102977A (en) * 1990-01-18 1992-04-07 Ruco Polymer Corporation Internally catalyzed sulfonate bearing hydroxyl terminated powder coating polyesters
US5182174A (en) * 1991-05-13 1993-01-26 E. I. Du Pont De Nemours And Company Flexible etch-resistant finishes with siloxane cross-linking
US5366768A (en) * 1991-05-09 1994-11-22 Kansai Paint Company, Limited Method of forming coating films
US5737064A (en) * 1994-03-15 1998-04-07 Matsushita Electric Industrial Co., Ltd. Exposure apparatus for transferring a mask pattern onto a substrate
US5905104A (en) * 1995-12-04 1999-05-18 H. B. Fuller Licensing & Financing, Inc. Heat resistant powder coating composition
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6342097B1 (en) * 1999-04-23 2002-01-29 Sdc Coatings, Inc. Composition for providing an abrasion resistant coating on a substrate with a matched refractive index and controlled tintability
US6410209B1 (en) * 1998-09-15 2002-06-25 Shipley Company, L.L.C. Methods utilizing antireflective coating compositions with exposure under 200 nm
US20020127499A1 (en) * 2001-03-08 2002-09-12 Masayuki Endo Mold, method for fabricating mold and pattern formation method
US6468896B2 (en) * 1998-06-29 2002-10-22 Infineon Technologies Ag Method of fabricating semiconductor components
US20030235787A1 (en) * 2002-06-24 2003-12-25 Watts Michael P.C. Low viscosity high resolution patterning material
US20040065252A1 (en) * 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method of forming a layer on a substrate to facilitate fabrication of metrology standards
US20040065976A1 (en) * 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method and a mold to arrange features on a substrate to replicate features having minimal dimensional variability
US6731857B2 (en) * 2001-03-29 2004-05-04 Shipley Company, L.L.C. Photodefinable composition, method of manufacturing an optical waveguide with the photodefinable composition, and optical waveguide formed therefrom
US20040110856A1 (en) * 2002-12-04 2004-06-10 Young Jung Gun Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US20040124566A1 (en) * 2002-07-11 2004-07-01 Sreenivasan Sidlgata V. Step and repeat imprint lithography processes
US20040188381A1 (en) * 2003-03-25 2004-09-30 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
US20040202872A1 (en) * 2002-07-23 2004-10-14 Pennzoil-Quaker State Company Hydrophobic surface treatment composition and method of making and using same
US20040211754A1 (en) * 2003-04-25 2004-10-28 Molecular Imprints, Inc. Method of forming stepped structures employing imprint lithography
US6814879B2 (en) * 2000-09-27 2004-11-09 Kabushiki Kaisha Toshiba Method for forming pattern
US20040229158A1 (en) * 2003-02-24 2004-11-18 Meador Jim D. Thermally curable middle layer for 193-NM trilayer resist process
US20040241338A1 (en) * 2001-11-07 2004-12-02 Foster Kenneth L. Planarized microelectronic substrates
US20050040532A1 (en) * 2003-08-21 2005-02-24 International Business Machines Corporation Dual damascene integration of ultra low dielectric constant porous materials
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
US6897259B1 (en) * 2002-09-25 2005-05-24 Rohm And Haas Company Heat stable wrinkle finish powder coatings
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US20050187339A1 (en) * 2004-02-23 2005-08-25 Molecular Imprints, Inc. Materials for imprint lithography
US6936194B2 (en) * 2002-09-05 2005-08-30 Molecular Imprints, Inc. Functional patterning material for imprint lithography processes
US20060224009A1 (en) * 2005-04-01 2006-10-05 Shin-Etsu Chemical Co., Ltd. Silsesquioxane compound mixture, method of making, resist composition, and patterning process
US7122079B2 (en) * 2004-02-27 2006-10-17 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US7122482B2 (en) * 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
US7157036B2 (en) * 2003-06-17 2007-01-02 Molecular Imprints, Inc Method to reduce adhesion between a conformable region and a pattern of a mold
US20070021520A1 (en) * 2005-07-22 2007-01-25 Molecular Imprints, Inc. Composition for adhering materials together
US20070017637A1 (en) * 2005-07-20 2007-01-25 Lee Kyu S Inductively coupled plasma processing apparatus
US7186656B2 (en) * 2004-05-21 2007-03-06 Molecular Imprints, Inc. Method of forming a recessed structure employing a reverse tone process
US7259102B2 (en) * 2005-09-30 2007-08-21 Molecular Imprints, Inc. Etching technique to planarize a multi-layer structure
US20070212494A1 (en) * 2005-07-22 2007-09-13 Molecular Imprints, Inc. Method for Imprint Lithography Utilizing an Adhesion Primer Layer
US7307118B2 (en) * 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US7323417B2 (en) * 2004-09-21 2008-01-29 Molecular Imprints, Inc. Method of forming a recessed structure employing a reverse tone process
US20080097065A1 (en) * 2004-02-27 2008-04-24 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US7662527B2 (en) * 2006-08-01 2010-02-16 Xerox Corporation Silanol containing photoconductor
US20100098940A1 (en) * 2008-10-20 2010-04-22 Molecular Imprints, Inc. Nano-Imprint Lithography Stack with Enhanced Adhesion Between Silicon-Containing and Non-Silicon Containing Layers

Family Cites Families (324)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3527062A (en) 1968-09-25 1970-09-08 Singer General Precision Universal joint flexure hinge
US3810874A (en) 1969-03-10 1974-05-14 Minnesota Mining & Mfg Polymers prepared from poly(perfluoro-alkylene oxide) compounds
US3783520A (en) 1970-09-28 1974-01-08 Bell Telephone Labor Inc High accuracy alignment procedure utilizing moire patterns
US3811685A (en) * 1971-12-03 1974-05-21 Bell & Howell Co Cassette tape recorder with indexing head
US3807027A (en) 1972-03-31 1974-04-30 Johns Manville Method of forming the bell end of a bell and spigot joint
US3811665A (en) 1972-09-05 1974-05-21 Bendix Corp Flexural pivot with diaphragm means
US3807029A (en) 1972-09-05 1974-04-30 Bendix Corp Method of making a flexural pivot
US3919351A (en) 1973-08-29 1975-11-11 Ppg Industries Inc Composition useful in making extensible films
US4029083A (en) * 1975-05-12 1977-06-14 Baylor Carl S Probe for audiometric apparatus
FR2325018A1 (en) 1975-06-23 1977-04-15 Ibm INTERVAL MEASURING DEVICE FOR DEFINING THE DISTANCE BETWEEN TWO OR MORE FACES
IT1068535B (en) 1975-11-03 1985-03-21 Ibm APPARATUS AND GRAPHIC ELECTROLYTE PROCESS
US4062600A (en) 1976-04-05 1977-12-13 Litton Systems, Inc. Dual-gimbal gyroscope flexure suspension
US4098001A (en) 1976-10-13 1978-07-04 The Charles Stark Draper Laboratory, Inc. Remote center compliance system
DE2800476A1 (en) 1977-01-07 1978-07-13 Instruments Sa Mass prodn. method for grids, graticules etc. - using revolving drum, belt carrying resin and UV light source for polymerisation process
US4155169A (en) 1978-03-16 1979-05-22 The Charles Stark Draper Laboratory, Inc. Compliant assembly system device
US4201800A (en) 1978-04-28 1980-05-06 International Business Machines Corp. Hardened photoresist master image mask process
JPS6053675B2 (en) 1978-09-20 1985-11-27 富士写真フイルム株式会社 Spin coating method
US4202107A (en) 1978-10-23 1980-05-13 Watson Paul C Remote axis admittance system
DE3111980C2 (en) * 1980-03-31 1983-12-01 Mitutoyo Mfg. Co., Ltd., Tokyo Micrometer
US4326805A (en) 1980-04-11 1982-04-27 Bell Telephone Laboratories, Incorporated Method and apparatus for aligning mask and wafer members
US4337579A (en) 1980-04-16 1982-07-06 The Charles Stark Draper Laboratory, Inc. Deformable remote center compliance device
US4355469A (en) 1980-11-28 1982-10-26 The Charles Stark Draper Laboratory, Inc. Folded remote center compliance device
US4414750A (en) 1981-10-19 1983-11-15 The Charles Stark Draper Laboratory, Inc. Single stage remote center compliance device
DE3208081A1 (en) 1982-03-06 1983-09-08 Braun Ag, 6000 Frankfurt METHOD FOR PRODUCING A SHEET-LIKE SHEAR FILM FOR AN ELECTRICALLY OPERATED DRY SHAVER WITH Raises On Its Face Facing The Skin
US4617238A (en) 1982-04-01 1986-10-14 General Electric Company Vinyloxy-functional organopolysiloxane compositions
US4426247A (en) 1982-04-12 1984-01-17 Nippon Telegraph & Telephone Public Corporation Method for forming micropattern
US4440804A (en) 1982-08-02 1984-04-03 Fairchild Camera & Instrument Corporation Lift-off process for fabricating self-aligned contacts
US4544572A (en) 1982-09-07 1985-10-01 Minnesota Mining And Manufacturing Company Coated ophthalmic lenses and method for coating the same
JPS5972727A (en) 1982-10-19 1984-04-24 Matsushita Electric Ind Co Ltd Positioning table
US4451507A (en) 1982-10-29 1984-05-29 Rca Corporation Automatic liquid dispensing apparatus for spinning surface of uniform thickness
FR2538923A1 (en) 1982-12-30 1984-07-06 Thomson Csf METHOD AND DEVICE FOR OPTICALLY ALIGNING PATTERNS IN TWO PLANS RECONCILED IN AN EXPOSURE APPARATUS COMPRISING A DIVERGENT RADIATION SOURCE
US4507331A (en) 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4512848A (en) 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4552833A (en) 1984-05-14 1985-11-12 International Business Machines Corporation Radiation sensitive and oxygen plasma developable resist
GB2159162B (en) * 1984-05-18 1988-01-06 Sumitomo Naugatuck Weather resistant thermoplastic resin composition
US4614667A (en) 1984-05-21 1986-09-30 Minnesota Mining And Manufacturing Company Composite low surface energy liner of perfluoropolyether
US4694703A (en) 1984-06-28 1987-09-22 Lear Siegler, Inc. Circumferentially oriented flexure suspension
US5554336A (en) 1984-08-08 1996-09-10 3D Systems, Inc. Method and apparatus for production of three-dimensional objects by stereolithography
US4908298A (en) 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
EP0228671A1 (en) 1985-12-23 1987-07-15 General Electric Company Method for the production of a coated substrate with controlled surface characteristics
US4657845A (en) 1986-01-14 1987-04-14 International Business Machines Corporation Positive tone oxygen plasma developable photoresist
US4692205A (en) 1986-01-31 1987-09-08 International Business Machines Corporation Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings
US4724222A (en) 1986-04-28 1988-02-09 American Telephone And Telegraph Company, At&T Bell Laboratories Wafer chuck comprising a curved reference surface
US4737425A (en) 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
KR900004269B1 (en) 1986-06-11 1990-06-18 가부시기가이샤 도시바 Method and device for positioing 1st body and 2nd body
US4929083A (en) 1986-06-19 1990-05-29 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
EP0255303B1 (en) 1986-07-25 1989-10-11 Oki Electric Industry Company, Limited Negative resist material, method for its manufacture and method for using it
JPS6376330A (en) 1986-09-18 1988-04-06 Oki Electric Ind Co Ltd Manufacture of semiconductor device
FR2604553A1 (en) 1986-09-29 1988-04-01 Rhone Poulenc Chimie RIGID POLYMER SUBSTRATE FOR OPTICAL DISC AND OPTICAL DISCS OBTAINED FROM THE SUBSTRATE
US4707218A (en) 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
JPH06104375B2 (en) 1986-11-10 1994-12-21 松下電器産業株式会社 Printing method
JPS63162132A (en) 1986-12-26 1988-07-05 Nippon Thompson Co Ltd Xy table
US4931351A (en) 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US5736424A (en) 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US6391798B1 (en) 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
US4731155A (en) 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4772878A (en) 1987-05-06 1988-09-20 Kane Roger A Merchandise theft deterrent sensor
US4808511A (en) 1987-05-19 1989-02-28 International Business Machines Corporation Vapor phase photoresist silylation process
KR930000293B1 (en) 1987-10-26 1993-01-15 마쯔시다덴기산교 가부시기가이샤 Fine pattern forming method
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
US4848179A (en) 1988-02-16 1989-07-18 Trw Inc. Flexidigit robotic manipulator
DE3805631A1 (en) 1988-02-24 1989-09-07 Teldix Gmbh TURNING VIBRATION DRIVE
US4846931A (en) 1988-03-29 1989-07-11 Bell Communications Research, Inc. Method for lifting-off epitaxial films
US4883561A (en) 1988-03-29 1989-11-28 Bell Communications Research, Inc. Lift-off and subsequent bonding of epitaxial films
US4891303A (en) 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
JPH0269936A (en) 1988-07-28 1990-03-08 Siemens Ag Method of making resin structure on semiconductor material
US5108875A (en) 1988-07-29 1992-04-28 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US4921778A (en) 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
EP0355496A3 (en) 1988-08-15 1990-10-10 Sumitomo Heavy Industries Co., Ltd. Position detector employing a sector fresnel zone plate
JP2546350B2 (en) 1988-09-09 1996-10-23 キヤノン株式会社 Alignment device
US4887283A (en) 1988-09-27 1989-12-12 Mitsubishi Denki Kabushiki Kaisha X-ray mask and exposure method employing the same
US5876550A (en) 1988-10-05 1999-03-02 Helisys, Inc. Laminated object manufacturing apparatus and method
US5171490A (en) 1988-11-29 1992-12-15 Fudim Efrem V Method and apparatus for production of three-dimensional objects by irradiation of photopolymers
US4964945A (en) 1988-12-09 1990-10-23 Minnesota Mining And Manufacturing Company Lift off patterning process on a flexible substrate
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
CA2010169A1 (en) 1989-02-21 1990-08-21 Masakazu Uekita Multi-layer resist
JPH02219881A (en) 1989-02-22 1990-09-03 Kansai Paint Co Ltd Paint composition
US4999280A (en) 1989-03-17 1991-03-12 International Business Machines Corporation Spray silylation of photoresist images
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
JP3001607B2 (en) 1989-04-24 2000-01-24 シーメンス、アクチエンゲゼルシヤフト Dimensionally stable structure transfer method in two-layer method
ES2103261T3 (en) 1989-04-24 1997-09-16 Siemens Ag PROCEDURE FOR THE GENERATION OF CORROSION RESISTANT STRUCTURES.
US5110514A (en) 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
US5053318A (en) 1989-05-18 1991-10-01 Shipley Company Inc. Plasma processing with metal mask integration
CA2011927C (en) 1989-06-02 1996-12-24 Alan Lee Sidman Microlithographic method for producing thick, vertically-walled photoresist patterns
US4919748A (en) 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
JP2704001B2 (en) 1989-07-18 1998-01-26 キヤノン株式会社 Position detection device
US4964145A (en) 1989-07-24 1990-10-16 International Business Machines Corporation System for magnification correction of conductive X-ray lithography mask substrates
US5072128A (en) * 1989-07-26 1991-12-10 Nikon Corporation Defect inspecting apparatus using multiple color light to detect defects
US5151754A (en) 1989-10-06 1992-09-29 Kabushiki Kaisha Toshiba Method and an apparatus for measuring a displacement between two objects and a method and an apparatus for measuring a gap distance between two objects
US5139925A (en) 1989-10-18 1992-08-18 Massachusetts Institute Of Technology Surface barrier silylation of novolak film without photoactive additive patterned with 193 nm excimer laser
US5362606A (en) 1989-10-18 1994-11-08 Massachusetts Institute Of Technology Positive resist pattern formation through focused ion beam exposure and surface barrier silylation
US5505349A (en) 1990-02-09 1996-04-09 Berg Company, A Division Of Dec International, Inc. Electronic dispensing heads
JP3197010B2 (en) 1990-03-05 2001-08-13 株式会社東芝 Interval setting method and interval setting device
US5073230A (en) 1990-04-17 1991-12-17 Arizona Board Of Regents Acting On Behalf Of Arizona State University Means and methods of lifting and relocating an epitaxial device layer
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JP2586692B2 (en) 1990-05-24 1997-03-05 松下電器産業株式会社 Pattern forming material and pattern forming method
JP2524436B2 (en) 1990-09-18 1996-08-14 インターナショナル・ビジネス・マシーンズ・コーポレイション Surface treatment method
DE4029912A1 (en) 1990-09-21 1992-03-26 Philips Patentverwaltung METHOD FOR FORMING AT LEAST ONE TRENCH IN A SUBSTRATE LAYER
US5314772A (en) 1990-10-09 1994-05-24 Arizona Board Of Regents High resolution, multi-layer resist for microlithography and method therefor
US5126006A (en) 1990-10-30 1992-06-30 International Business Machines Corp. Plural level chip masking
US5072126A (en) 1990-10-31 1991-12-10 International Business Machines Corporation Promixity alignment using polarized illumination and double conjugate projection lens
US5335219A (en) * 1991-01-18 1994-08-02 Ovshinsky Stanford R Homogeneous composition of microcrystalline semiconductor material, semiconductor devices and directly overwritable memory elements fabricated therefrom, and arrays fabricated from the memory elements
JP2796899B2 (en) 1991-02-16 1998-09-10 住友重機械工業株式会社 Illumination method for band light and multicolor light in a chromatic aberration double focus device
US5155749A (en) 1991-03-28 1992-10-13 International Business Machines Corporation Variable magnification mask for X-ray lithography
US5240878A (en) 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
US5212147A (en) 1991-05-15 1993-05-18 Hewlett-Packard Company Method of forming a patterned in-situ high Tc superconductive film
JPH04366958A (en) * 1991-06-14 1992-12-18 Oki Electric Ind Co Ltd Radiation sensitive resin composition
US5206983A (en) 1991-06-24 1993-05-04 Wisconsin Alumni Research Foundation Method of manufacturing micromechanical devices
US5421981A (en) 1991-06-26 1995-06-06 Ppg Industries, Inc. Electrochemical sensor storage device
EP0524759A1 (en) 1991-07-23 1993-01-27 AT&T Corp. Device fabrication process
US5242711A (en) 1991-08-16 1993-09-07 Rockwell International Corp. Nucleation control of diamond films by microlithographic patterning
US5563702A (en) 1991-08-22 1996-10-08 Kla Instruments Corporation Automated photomask inspection apparatus and method
JPH0553289A (en) 1991-08-22 1993-03-05 Nec Corp Production of phase shift reticle
JPH0555654A (en) 1991-08-26 1993-03-05 Nec Corp Piezoelectric element displacement magnification mechanism
US5357122A (en) 1991-09-05 1994-10-18 Sony Corporation Three-dimensional optical-electronic integrated circuit device with raised sections
US5317386A (en) 1991-09-06 1994-05-31 Eastman Kodak Company Optical monitor for measuring a gap between two rollers
JPH0580530A (en) 1991-09-24 1993-04-02 Hitachi Ltd Production of thin film pattern
US5264739A (en) * 1991-10-16 1993-11-23 Acraloc Corporation Two-handed controller for preventing trigger tie-down
US5277749A (en) 1991-10-17 1994-01-11 International Business Machines Corporation Methods and apparatus for relieving stress and resisting stencil delamination when performing lift-off processes that utilize high stress metals and/or multiple evaporation steps
US5331020A (en) 1991-11-14 1994-07-19 Dow Corning Limited Organosilicon compounds and compositions containing them
US5259026A (en) * 1991-12-18 1993-11-02 Bell Communications Research, Inc. Method for speed calling automatic update
US5263073A (en) 1991-12-20 1993-11-16 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Scanning systems for high resolution E-beam and X-ray lithography
JP3074579B2 (en) 1992-01-31 2000-08-07 キヤノン株式会社 Position shift correction method
US5204739A (en) 1992-02-07 1993-04-20 Karl Suss America, Inc. Proximity mask alignment using a stored video image
US5244818A (en) 1992-04-08 1993-09-14 Georgia Tech Research Corporation Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits
US5545367A (en) 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
EP0568478A1 (en) 1992-04-29 1993-11-03 International Business Machines Corporation Darkfield alignment system using a confocal spatial filter
US5731981A (en) 1992-06-08 1998-03-24 Azbar, Inc. Beverage dispensing system for bar
US5376810A (en) 1992-06-26 1994-12-27 California Institute Of Technology Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response
US5601641A (en) 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
US5431777A (en) 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
TW227628B (en) 1992-12-10 1994-08-01 Samsung Electronics Co Ltd
JPH06183561A (en) 1992-12-18 1994-07-05 Canon Inc Moving stage device
JP2821073B2 (en) 1992-12-18 1998-11-05 松下電器産業株式会社 Gap control device and gap control method
DE69405451T2 (en) 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Method and device for producing a structured relief image from cross-linked photoresist on a flat substrate surface
US5348616A (en) 1993-05-03 1994-09-20 Motorola, Inc. Method for patterning a mold
US5884292A (en) 1993-05-06 1999-03-16 Pitney Bowes Inc. System for smart card funds refill
US5594042A (en) 1993-05-18 1997-01-14 Dow Corning Corporation Radiation curable compositions containing vinyl ether functional polyorganosiloxanes
US5861467A (en) 1993-05-18 1999-01-19 Dow Corning Corporation Radiation curable siloxane compositions containing vinyl ether functionality and methods for their preparation
US5380474A (en) 1993-05-20 1995-01-10 Sandia Corporation Methods for patterned deposition on a substrate
US5414514A (en) 1993-06-01 1995-05-09 Massachusetts Institute Of Technology On-axis interferometric alignment of plates using the spatial phase of interference patterns
US5324683A (en) 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
JP2837063B2 (en) 1993-06-04 1998-12-14 シャープ株式会社 Method of forming resist pattern
DE4412734A1 (en) * 1993-09-14 1995-03-16 Daikin Mfg Co Ltd Annular hydraulic clutch-release cylinder arrangement
US5389696A (en) 1993-09-17 1995-02-14 Miles Inc. Process for the production of molded products using internal mold release agents
US5900160A (en) 1993-10-04 1999-05-04 President And Fellows Of Harvard College Methods of etching articles via microcontact printing
US5512131A (en) 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US6180239B1 (en) 1993-10-04 2001-01-30 President And Fellows Of Harvard College Microcontact printing on surfaces and derivative articles
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5776748A (en) 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
NL9401260A (en) 1993-11-12 1995-06-01 Cornelis Johannes Maria Van Ri Membrane for microfiltration, ultrafiltration, gas separation and catalysis, method for manufacturing such a membrane, mold for manufacturing such a membrane, as well as various separation systems comprising such a membrane.
KR970009858B1 (en) 1994-01-12 1997-06-18 엘지반도체 주식회사 Multi-layer photoresist patterning method
US5534101A (en) 1994-03-02 1996-07-09 Telecommunication Research Laboratories Method and apparatus for making optical components by direct dispensing of curable liquid
US5417802A (en) 1994-03-18 1995-05-23 At&T Corp. Integrated circuit manufacturing
US5528118A (en) 1994-04-01 1996-06-18 Nikon Precision, Inc. Guideless stage with isolated reaction stage
US5453157A (en) 1994-05-16 1995-09-26 Texas Instruments Incorporated Low temperature anisotropic ashing of resist for semiconductor fabrication
US5670415A (en) 1994-05-24 1997-09-23 Depositech, Inc. Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment
US5837314A (en) 1994-06-10 1998-11-17 Johnson & Johnson Vision Products, Inc. Method and apparatus for applying a surfactant to mold surfaces
US5542978A (en) 1994-06-10 1996-08-06 Johnson & Johnson Vision Products, Inc. Apparatus for applying a surfactant to mold surfaces
US5523878A (en) 1994-06-30 1996-06-04 Texas Instruments Incorporated Self-assembled monolayer coating for micro-mechanical devices
US5425964A (en) 1994-07-22 1995-06-20 Rockwell International Corporation Deposition of multiple layer thin films using a broadband spectral monitor
US5515167A (en) 1994-09-13 1996-05-07 Hughes Aircraft Company Transparent optical chuck incorporating optical monitoring
US5458520A (en) 1994-12-13 1995-10-17 International Business Machines Corporation Method for producing planar field emission structure
DK0726265T3 (en) * 1995-02-08 2001-10-22 Novartis Ag 10-Aminoaliphatyl-dibenz [b, f] oxepines with antineurodegenerative effect
US5504793A (en) 1995-02-17 1996-04-02 Loral Federal Systems Company Magnification correction for 1-X proximity X-Ray lithography
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US5743998A (en) 1995-04-19 1998-04-28 Park Scientific Instruments Process for transferring microminiature patterns using spin-on glass resist media
US5948570A (en) 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
US5808742A (en) 1995-05-31 1998-09-15 Massachusetts Institute Of Technology Optical alignment apparatus having multiple parallel alignment marks
JP3624476B2 (en) 1995-07-17 2005-03-02 セイコーエプソン株式会社 Manufacturing method of semiconductor laser device
US5654238A (en) 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
WO1997007429A1 (en) 1995-08-18 1997-02-27 President And Fellows Of Harvard College Self-assembled monolayer directed patterning of surfaces
US5566584A (en) 1995-08-31 1996-10-22 Beta Squared, Inc. Flexure support for a fixture positioning device
US5825482A (en) 1995-09-29 1998-10-20 Kla-Tencor Corporation Surface inspection system with misregistration error correction and adaptive illumination
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US5545570A (en) 1995-09-29 1996-08-13 Taiwan Semiconductor Manufacturing Company Method of inspecting first layer overlay shift in global alignment process
US6468642B1 (en) 1995-10-03 2002-10-22 N.V. Bekaert S.A. Fluorine-doped diamond-like coatings
SE508373C2 (en) 1995-10-30 1998-09-28 Obducat Ab Krypto system for optical storage media
US6518189B1 (en) 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US20040137734A1 (en) 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US20040036201A1 (en) 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US7758794B2 (en) 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US6482742B1 (en) 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US5747102A (en) 1995-11-16 1998-05-05 Nordson Corporation Method and apparatus for dispensing small amounts of liquid material
JP2842362B2 (en) 1996-02-29 1999-01-06 日本電気株式会社 Superposition measurement method
US5725788A (en) 1996-03-04 1998-03-10 Motorola Apparatus and method for patterning a surface
US5669303A (en) 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US6355198B1 (en) 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
US20030179354A1 (en) 1996-03-22 2003-09-25 Nikon Corporation Mask-holding apparatus for a light exposure apparatus and related scanning-exposure method
JP3832891B2 (en) 1996-03-28 2006-10-11 日本トムソン株式会社 XY table using linear electromagnetic actuator
JPH09283621A (en) 1996-04-10 1997-10-31 Murata Mfg Co Ltd Formation of t-type gate electrode of semiconductor device and structure thereof
US5942443A (en) 1996-06-28 1999-08-24 Caliper Technologies Corporation High throughput screening assay systems in microscale fluidic devices
US5776746A (en) * 1996-05-01 1998-07-07 Genitope Corporation Gene amplification methods
US5802914A (en) 1996-05-30 1998-09-08 Eastman Kodak Company Alignment mechanism using flexures
US5888650A (en) 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US5779799A (en) 1996-06-21 1998-07-14 Micron Technology, Inc. Substrate coating apparatus
US6753131B1 (en) 1996-07-22 2004-06-22 President And Fellows Of Harvard College Transparent elastomeric, contact-mode photolithography mask, sensor, and wavefront engineering element
US5717518A (en) 1996-07-22 1998-02-10 Kla Instruments Corporation Broad spectrum ultraviolet catadioptric imaging system
US6074827A (en) 1996-07-30 2000-06-13 Aclara Biosciences, Inc. Microfluidic method for nucleic acid purification and processing
US6039897A (en) 1996-08-28 2000-03-21 University Of Washington Multiple patterned structures on a single substrate fabricated by elastomeric micro-molding techniques
CA2264908C (en) 1996-09-06 2006-04-25 Obducat Ab Method for anisotropic etching of structures in conducting materials
US6036055A (en) 1996-11-12 2000-03-14 Barmate Corporation Wireless liquid portion and inventory control system
DE19648844C1 (en) 1996-11-26 1997-09-18 Jenoptik Jena Gmbh Forming microstructured components for embossing tool and formable material between chamber walls
JPH10172897A (en) 1996-12-05 1998-06-26 Nikon Corp Substrate adaptor, substrate holder and method for holding substrate
US6204343B1 (en) 1996-12-11 2001-03-20 3M Innovative Properties Company Room temperature curable resin
US5895263A (en) 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US6495624B1 (en) 1997-02-03 2002-12-17 Cytonix Corporation Hydrophobic coating compositions, articles coated with said compositions, and processes for manufacturing same
US6156389A (en) 1997-02-03 2000-12-05 Cytonix Corporation Hydrophobic coating compositions, articles coated with said compositions, and processes for manufacturing same
US6143412A (en) 1997-02-10 2000-11-07 President And Fellows Of Harvard College Fabrication of carbon microstructures
DE19710420C2 (en) 1997-03-13 2001-07-12 Helmut Fischer Gmbh & Co Method and device for measuring the thicknesses of thin layers by means of X-ray fluorescence
JP3296239B2 (en) 1997-03-27 2002-06-24 ウシオ電機株式会社 Proximity exposure apparatus with gap setting mechanism
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US5948219A (en) 1997-05-07 1999-09-07 Advanced Micro Devices, Inc. Apparatus for selectively exposing a semiconductor topography to an electric field
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6033977A (en) 1997-06-30 2000-03-07 Siemens Aktiengesellschaft Dual damascene structure
US5988859A (en) 1997-07-30 1999-11-23 Kirk; Lester C. Apparatus for dispensing valuable bulk commodities and method therefor
US5912049A (en) 1997-08-12 1999-06-15 Micron Technology, Inc. Process liquid dispense method and apparatus
US5877861A (en) 1997-11-14 1999-03-02 International Business Machines Corporation Method for overlay control system
US5937758A (en) 1997-11-26 1999-08-17 Motorola, Inc. Micro-contact printing stamp
US5991022A (en) 1997-12-09 1999-11-23 N&K Technology, Inc. Reflectance spectrophotometric apparatus with toroidal mirrors
US6539286B1 (en) 1998-01-26 2003-03-25 Micron Technology, Inc. Fluid level sensor
US6117708A (en) 1998-02-05 2000-09-12 Micron Technology, Inc. Use of residual organic compounds to facilitate gate break on a carrier substrate for a semiconductor device
US6150680A (en) 1998-03-05 2000-11-21 Welch Allyn, Inc. Field effect semiconductor device having dipole barrier
WO1999045179A1 (en) 1998-03-05 1999-09-10 Obducat Ab Method of etching
SE514520C2 (en) 1998-03-05 2001-03-05 Etchtech Sweden Ab Pattern board, substrate or semiconductor tray with a conductor with etched surface structure
US6081334A (en) 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
US6753972B1 (en) 1998-04-21 2004-06-22 Hitachi, Ltd. Thin film thickness measuring method and apparatus, and method and apparatus for manufacturing a thin film device using the same
TW352421B (en) 1998-04-27 1999-02-11 United Microelectronics Corp Method and process of phase shifting mask
JP3780700B2 (en) 1998-05-26 2006-05-31 セイコーエプソン株式会社 Pattern forming method, pattern forming apparatus, pattern forming plate, pattern forming plate manufacturing method, color filter manufacturing method, conductive film manufacturing method, and liquid crystal panel manufacturing method
US6239590B1 (en) 1998-05-26 2001-05-29 Micron Technology, Inc. Calibration target for calibrating semiconductor wafer test systems
US6150231A (en) 1998-06-15 2000-11-21 Siemens Aktiengesellschaft Overlay measurement technique using moire patterns
US6182042B1 (en) 1998-07-07 2001-01-30 Creative Technology Ltd. Sound modification employing spectral warping techniques
US5907782A (en) 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
US6096655A (en) 1998-09-02 2000-08-01 International Business Machines, Corporation Method for forming vias and trenches in an insulation layer for a dual-damascene multilevel interconnection structure
US6713238B1 (en) 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6218316B1 (en) 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6437891B1 (en) 1998-10-27 2002-08-20 Agere Systems Guardian Corp. Integrated dual-wavelength transceiver
US6204922B1 (en) 1998-12-11 2001-03-20 Filmetrics, Inc. Rapid and accurate thin film measurement of individual layers in a multi-layered or patterned sample
US6168845B1 (en) 1999-01-19 2001-01-02 International Business Machines Corporation Patterned magnetic media and method of making the same using selective oxidation
US6274294B1 (en) 1999-02-03 2001-08-14 Electroformed Stents, Inc. Cylindrical photolithography exposure process and apparatus
US6565928B2 (en) 1999-03-08 2003-05-20 Tokyo Electron Limited Film forming method and film forming apparatus
JP4286374B2 (en) 1999-03-30 2009-06-24 新日鐵化学株式会社 Silicone resin and photosensitive resin composition containing the same
JP4151151B2 (en) 1999-04-06 2008-09-17 松下電器産業株式会社 Paste coating apparatus and paste coating method for die bonding
US6387783B1 (en) 1999-04-26 2002-05-14 International Business Machines Corporation Methods of T-gate fabrication using a hybrid resist
JP3939048B2 (en) 1999-05-17 2007-06-27 セイコーインスツル株式会社 Piezoelectric actuator
WO2000072178A1 (en) 1999-05-20 2000-11-30 Lancer Partnership, Ltd. A beverage dispenser including an improved electronic control system
US6522411B1 (en) 1999-05-25 2003-02-18 Massachusetts Institute Of Technology Optical gap measuring apparatus and method having two-dimensional grating mark with chirp in one direction
CA2374241A1 (en) 1999-06-11 2000-12-21 Bausch & Lomb Incorporated Lens molds with protective coatings for production of contact lenses and other ophthalmic products
US6188150B1 (en) 1999-06-16 2001-02-13 Euv, Llc Light weight high-stiffness stage platen
US6255022B1 (en) 1999-06-17 2001-07-03 Taiwan Semiconductor Manufacturing Company Dry development process for a bi-layer resist system utilized to reduce microloading
US6467761B1 (en) 1999-06-21 2002-10-22 The United States Of America As Represented By The Secretary Of Commerce Positioning stage
KR100702741B1 (en) 1999-06-29 2007-04-03 어플라이드 머티어리얼스, 인코포레이티드 Integrated critical dimension control for semiconductor device manufacturing
US6383928B1 (en) 1999-09-02 2002-05-07 Texas Instruments Incorporated Post copper CMP clean
AU7361200A (en) 1999-09-10 2001-04-10 Nano-Tex, Llc Water-repellent and soil-resistant finish for textiles
US6517995B1 (en) 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6329256B1 (en) 1999-09-24 2001-12-11 Advanced Micro Devices, Inc. Self-aligned damascene gate formation with low gate resistance
US6521324B1 (en) 1999-11-30 2003-02-18 3M Innovative Properties Company Thermal transfer of microstructured layers
DE19958966A1 (en) 1999-12-07 2001-06-13 Infineon Technologies Ag Generation of resist structures
US6091485A (en) 1999-12-15 2000-07-18 N & K Technology, Inc. Method and apparatus for optically determining physical parameters of underlayers
EP1251974B1 (en) 1999-12-23 2005-05-04 University of Massachusetts Methods for forming submicron patterns on films
US6234379B1 (en) 2000-02-28 2001-05-22 Nordson Corporation No-flow flux and underfill dispensing methods
US6696157B1 (en) 2000-03-05 2004-02-24 3M Innovative Properties Company Diamond-like glass thin films
US6337262B1 (en) 2000-03-06 2002-01-08 Chartered Semiconductor Manufacturing Ltd. Self aligned T-top gate process integration
JP4004014B2 (en) 2000-03-28 2007-11-07 株式会社東芝 Method for forming resist pattern
US6245581B1 (en) 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
US6756165B2 (en) 2000-04-25 2004-06-29 Jsr Corporation Radiation sensitive resin composition for forming barrier ribs for an EL display element, barrier rib and EL display element
US6774183B1 (en) 2000-04-27 2004-08-10 Bostik, Inc. Copolyesters having improved retained adhesion
US6462818B1 (en) 2000-06-22 2002-10-08 Kla-Tencor Corporation Overlay alignment mark design
WO2002008835A2 (en) 2000-07-16 2002-01-31 Board Of Regents, The University Of Texas System High-resolution overlay alignment methods and systems for imprint lithography
EP2270592B1 (en) 2000-07-17 2015-09-02 Board of Regents, The University of Texas System Method of forming a pattern on a substrate
US7211214B2 (en) 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US7635262B2 (en) 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US6326627B1 (en) 2000-08-02 2001-12-04 Archimedes Technology Group, Inc. Mass filtering sputtered ion source
US6730256B1 (en) 2000-08-04 2004-05-04 Massachusetts Institute Of Technology Stereolithographic patterning with interlayer surface modifications
US6777170B1 (en) 2000-08-04 2004-08-17 Massachusetts Institute Of Technology Stereolithographic patterning by variable dose light delivery
US8016277B2 (en) 2000-08-21 2011-09-13 Board Of Regents, The University Of Texas System Flexure based macro motion translation stage
US6448301B1 (en) 2000-09-08 2002-09-10 3M Innovative Properties Company Crosslinkable polymeric compositions and use thereof
US6455411B1 (en) 2000-09-11 2002-09-24 Texas Instruments Incorporated Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
US6718630B2 (en) 2000-09-18 2004-04-13 Matsushita Electric Industrial Co., Ltd. Apparatus and method for mounting components on substrate
AU2001297642A1 (en) 2000-10-12 2002-09-04 Board Of Regents, The University Of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
US6503914B1 (en) 2000-10-23 2003-01-07 Board Of Regents, The University Of Texas System Thienopyrimidine-based inhibitors of the Src family
US6633391B1 (en) 2000-11-07 2003-10-14 Applied Materials, Inc Monitoring of film characteristics during plasma-based semi-conductor processing using optical emission spectroscopy
EP1405336A2 (en) 2000-12-04 2004-04-07 Ebara Corporation Substrate processing method
US6632742B2 (en) 2001-04-18 2003-10-14 Promos Technologies Inc. Method for avoiding defects produced in the CMP process
US6489068B1 (en) 2001-02-21 2002-12-03 Advanced Micro Devices, Inc. Process for observing overlay errors on lithographic masks
JP2002251802A (en) 2001-02-23 2002-09-06 Sony Corp Manufacturing method for disk-like recording medium and metal mold device
US6387787B1 (en) 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
JP2002266702A (en) 2001-03-12 2002-09-18 Honda Motor Co Ltd Composite energy generating device
US6517977B2 (en) 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
US6541360B1 (en) 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
US6534418B1 (en) 2001-04-30 2003-03-18 Advanced Micro Devices, Inc. Use of silicon containing imaging layer to define sub-resolution gate structures
US6964793B2 (en) 2002-05-16 2005-11-15 Board Of Regents, The University Of Texas System Method for fabricating nanoscale patterns in light curable compositions using an electric field
US6737489B2 (en) 2001-05-21 2004-05-18 3M Innovative Properties Company Polymers containing perfluorovinyl ethers and applications for such polymers
US6541356B2 (en) 2001-05-21 2003-04-01 International Business Machines Corporation Ultimate SIMOX
US6736857B2 (en) 2001-05-25 2004-05-18 3M Innovative Properties Company Method for imparting soil and stain resistance to carpet
US6847433B2 (en) 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
US6561706B2 (en) 2001-06-28 2003-05-13 Advanced Micro Devices, Inc. Critical dimension monitoring from latent image
US7670770B2 (en) 2001-07-25 2010-03-02 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US6721529B2 (en) 2001-09-21 2004-04-13 Nexpress Solutions Llc Release agent donor member having fluorocarbon thermoplastic random copolymer overcoat
US6790905B2 (en) 2001-10-09 2004-09-14 E. I. Du Pont De Nemours And Company Highly repellent carpet protectants
US20030080472A1 (en) 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method with bonded release layer for molding small patterns
US6716767B2 (en) 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
US6649272B2 (en) 2001-11-08 2003-11-18 3M Innovative Properties Company Coating composition comprising fluorochemical polyether silane polycondensate and use thereof
US6588632B1 (en) 2001-11-20 2003-07-08 Gorham Nicol Programmable beverage dispensing apparatus
US20030133126A1 (en) 2002-01-17 2003-07-17 Applied Materials, Inc. Spectral reflectance for in-situ film characteristic measurements
US7455955B2 (en) 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6881366B2 (en) 2002-04-22 2005-04-19 International Business Machines Corporation Process of fabricating a precision microcontact printing stamp
US6743713B2 (en) 2002-05-15 2004-06-01 Institute Of Microelectronics Method of forming dual damascene pattern using dual bottom anti-reflective coatings (BARC)
US7071088B2 (en) 2002-08-23 2006-07-04 Molecular Imprints, Inc. Method for fabricating bulbous-shaped vias
US20040112862A1 (en) 2002-12-12 2004-06-17 Molecular Imprints, Inc. Planarization composition and method of patterning a substrate using the same
US6871558B2 (en) 2002-12-12 2005-03-29 Molecular Imprints, Inc. Method for determining characteristics of substrate employing fluid geometries
US6770852B1 (en) 2003-02-27 2004-08-03 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control
US20040168613A1 (en) 2003-02-27 2004-09-02 Molecular Imprints, Inc. Composition and method to form a release layer
US7452574B2 (en) 2003-02-27 2008-11-18 Molecular Imprints, Inc. Method to reduce adhesion between a polymerizable layer and a substrate employing a fluorine-containing layer
US6830819B2 (en) 2003-03-18 2004-12-14 Xerox Corporation Fluorosilicone release agent for fluoroelastomer fuser members
WO2004086471A1 (en) 2003-03-27 2004-10-07 Korea Institute Of Machinery & Materials Uv nanoimprint lithography process using elementwise embossed stamp and selectively additive pressurization
US20040202865A1 (en) 2003-04-08 2004-10-14 Andrew Homola Release coating for stamper
US6805054B1 (en) 2003-05-14 2004-10-19 Molecular Imprints, Inc. Method, system and holder for transferring templates during imprint lithography processes
JP2005225523A (en) * 2004-02-13 2005-08-25 Nippon Matai Co Ltd Method and apparatus for packing
US7105452B2 (en) 2004-08-13 2006-09-12 Molecular Imprints, Inc. Method of planarizing a semiconductor substrate with an etching chemistry
US7205244B2 (en) 2004-09-21 2007-04-17 Molecular Imprints Patterning substrates employing multi-film layers defining etch-differential interfaces
US7241395B2 (en) 2004-09-21 2007-07-10 Molecular Imprints, Inc. Reverse tone patterning on surfaces having planarity perturbations

Patent Citations (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3912670A (en) * 1973-02-28 1975-10-14 O Brien Corp Radiation curable can coating composition
US4517337A (en) * 1984-02-24 1985-05-14 General Electric Company Room temperature vulcanizable organopolysiloxane compositions and method for making
US5102977A (en) * 1990-01-18 1992-04-07 Ruco Polymer Corporation Internally catalyzed sulfonate bearing hydroxyl terminated powder coating polyesters
US5366768A (en) * 1991-05-09 1994-11-22 Kansai Paint Company, Limited Method of forming coating films
US5182174A (en) * 1991-05-13 1993-01-26 E. I. Du Pont De Nemours And Company Flexible etch-resistant finishes with siloxane cross-linking
US5737064A (en) * 1994-03-15 1998-04-07 Matsushita Electric Industrial Co., Ltd. Exposure apparatus for transferring a mask pattern onto a substrate
US5905104A (en) * 1995-12-04 1999-05-18 H. B. Fuller Licensing & Financing, Inc. Heat resistant powder coating composition
US6468896B2 (en) * 1998-06-29 2002-10-22 Infineon Technologies Ag Method of fabricating semiconductor components
US6410209B1 (en) * 1998-09-15 2002-06-25 Shipley Company, L.L.C. Methods utilizing antireflective coating compositions with exposure under 200 nm
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6342097B1 (en) * 1999-04-23 2002-01-29 Sdc Coatings, Inc. Composition for providing an abrasion resistant coating on a substrate with a matched refractive index and controlled tintability
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
US6814879B2 (en) * 2000-09-27 2004-11-09 Kabushiki Kaisha Toshiba Method for forming pattern
US20020127499A1 (en) * 2001-03-08 2002-09-12 Masayuki Endo Mold, method for fabricating mold and pattern formation method
US6731857B2 (en) * 2001-03-29 2004-05-04 Shipley Company, L.L.C. Photodefinable composition, method of manufacturing an optical waveguide with the photodefinable composition, and optical waveguide formed therefrom
US20040241338A1 (en) * 2001-11-07 2004-12-02 Foster Kenneth L. Planarized microelectronic substrates
US20030235787A1 (en) * 2002-06-24 2003-12-25 Watts Michael P.C. Low viscosity high resolution patterning material
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US20040124566A1 (en) * 2002-07-11 2004-07-01 Sreenivasan Sidlgata V. Step and repeat imprint lithography processes
US20040202872A1 (en) * 2002-07-23 2004-10-14 Pennzoil-Quaker State Company Hydrophobic surface treatment composition and method of making and using same
US6936194B2 (en) * 2002-09-05 2005-08-30 Molecular Imprints, Inc. Functional patterning material for imprint lithography processes
US6897259B1 (en) * 2002-09-25 2005-05-24 Rohm And Haas Company Heat stable wrinkle finish powder coatings
US20040065976A1 (en) * 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method and a mold to arrange features on a substrate to replicate features having minimal dimensional variability
US20040065252A1 (en) * 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method of forming a layer on a substrate to facilitate fabrication of metrology standards
US20040110856A1 (en) * 2002-12-04 2004-06-10 Young Jung Gun Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US20040229158A1 (en) * 2003-02-24 2004-11-18 Meador Jim D. Thermally curable middle layer for 193-NM trilayer resist process
US20040188381A1 (en) * 2003-03-25 2004-09-30 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
US20100140218A1 (en) * 2003-03-25 2010-06-10 Molecular Imprints, Inc. Positive Tone Bi-Layer Method
US7670953B2 (en) * 2003-03-25 2010-03-02 Molecular Imprints, Inc. Positive tone bi-layer method
US7261831B2 (en) * 2003-03-25 2007-08-28 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
US7179396B2 (en) * 2003-03-25 2007-02-20 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
US20040211754A1 (en) * 2003-04-25 2004-10-28 Molecular Imprints, Inc. Method of forming stepped structures employing imprint lithography
US7157036B2 (en) * 2003-06-17 2007-01-02 Molecular Imprints, Inc Method to reduce adhesion between a conformable region and a pattern of a mold
US20050040532A1 (en) * 2003-08-21 2005-02-24 International Business Machines Corporation Dual damascene integration of ultra low dielectric constant porous materials
US7122482B2 (en) * 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
US20050187339A1 (en) * 2004-02-23 2005-08-25 Molecular Imprints, Inc. Materials for imprint lithography
US7122079B2 (en) * 2004-02-27 2006-10-17 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US20080097065A1 (en) * 2004-02-27 2008-04-24 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US7186656B2 (en) * 2004-05-21 2007-03-06 Molecular Imprints, Inc. Method of forming a recessed structure employing a reverse tone process
US7323417B2 (en) * 2004-09-21 2008-01-29 Molecular Imprints, Inc. Method of forming a recessed structure employing a reverse tone process
US7307118B2 (en) * 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US20060224009A1 (en) * 2005-04-01 2006-10-05 Shin-Etsu Chemical Co., Ltd. Silsesquioxane compound mixture, method of making, resist composition, and patterning process
US20070017637A1 (en) * 2005-07-20 2007-01-25 Lee Kyu S Inductively coupled plasma processing apparatus
US20070212494A1 (en) * 2005-07-22 2007-09-13 Molecular Imprints, Inc. Method for Imprint Lithography Utilizing an Adhesion Primer Layer
US20070021520A1 (en) * 2005-07-22 2007-01-25 Molecular Imprints, Inc. Composition for adhering materials together
US7259102B2 (en) * 2005-09-30 2007-08-21 Molecular Imprints, Inc. Etching technique to planarize a multi-layer structure
US7662527B2 (en) * 2006-08-01 2010-02-16 Xerox Corporation Silanol containing photoconductor
US20100098940A1 (en) * 2008-10-20 2010-04-22 Molecular Imprints, Inc. Nano-Imprint Lithography Stack with Enhanced Adhesion Between Silicon-Containing and Non-Silicon Containing Layers

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
abstract of JP 2001-131492 (05/2001) *

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8889332B2 (en) 2004-10-18 2014-11-18 Canon Nanotechnologies, Inc. Low-K dielectric functional imprinting materials
US20100098940A1 (en) * 2008-10-20 2010-04-22 Molecular Imprints, Inc. Nano-Imprint Lithography Stack with Enhanced Adhesion Between Silicon-Containing and Non-Silicon Containing Layers
US8415010B2 (en) 2008-10-20 2013-04-09 Molecular Imprints, Inc. Nano-imprint lithography stack with enhanced adhesion between silicon-containing and non-silicon containing layers

Also Published As

Publication number Publication date
US20080097065A1 (en) 2008-04-24
US7906180B2 (en) 2011-03-15

Similar Documents

Publication Publication Date Title
US7122079B2 (en) Composition for an etching mask comprising a silicon-containing material
US20050276919A1 (en) Method for dispensing a fluid on a substrate
CN1802265B (en) Positive tone bi-layer imprint lithography method and compositions therefor
US20110140306A1 (en) Composition for an Etching Mask Comprising a Silicon-Containing Material
US7547504B2 (en) Pattern reversal employing thick residual layers
US8066930B2 (en) Forming a layer on a substrate
WO2005110699A2 (en) Method of patterning a conductive layer on a substrate
US8349241B2 (en) Method to arrange features on a substrate to replicate features having minimal dimensional variability
US7041604B2 (en) Method of patterning surfaces while providing greater control of recess anisotropy
US7858528B2 (en) Positive tone bi-layer method
US7256131B2 (en) Method of controlling the critical dimension of structures formed on a substrate
US7452574B2 (en) Method to reduce adhesion between a polymerizable layer and a substrate employing a fluorine-containing layer
US7241395B2 (en) Reverse tone patterning on surfaces having planarity perturbations
US7205244B2 (en) Patterning substrates employing multi-film layers defining etch-differential interfaces
EP1614004B1 (en) Positive tone bi-layer imprint lithography method and compositions therefor
EP2146369A2 (en) Method of forming an in-situ recessed structure
US7252777B2 (en) Method of forming an in-situ recessed structure
Stacey et al. Compositions for dark-field polymerization and method of using the same for imprint lithography processes

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION