WO2010144527A2 - Anti-reflective coatings for optically transparent substrates - Google Patents
Anti-reflective coatings for optically transparent substrates Download PDFInfo
- Publication number
- WO2010144527A2 WO2010144527A2 PCT/US2010/037898 US2010037898W WO2010144527A2 WO 2010144527 A2 WO2010144527 A2 WO 2010144527A2 US 2010037898 W US2010037898 W US 2010037898W WO 2010144527 A2 WO2010144527 A2 WO 2010144527A2
- Authority
- WO
- WIPO (PCT)
- Prior art keywords
- optically transparent
- silane
- coating solution
- coating
- polymer
- Prior art date
Links
- 239000006117 anti-reflective coating Substances 0.000 title claims abstract description 19
- 239000000758 substrate Substances 0.000 title claims description 27
- 238000000576 coating method Methods 0.000 claims abstract description 116
- 239000011248 coating agent Substances 0.000 claims abstract description 96
- 239000000463 material Substances 0.000 claims abstract description 36
- -1 alkoxy silane Chemical compound 0.000 claims abstract description 34
- 229910000077 silane Inorganic materials 0.000 claims abstract description 32
- 238000000034 method Methods 0.000 claims abstract description 31
- 229920000642 polymer Polymers 0.000 claims description 48
- 150000004756 silanes Chemical class 0.000 claims description 25
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical group CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 claims description 21
- VDZOOKBUILJEDG-UHFFFAOYSA-M tetrabutylammonium hydroxide Chemical compound [OH-].CCCC[N+](CCCC)(CCCC)CCCC VDZOOKBUILJEDG-UHFFFAOYSA-M 0.000 claims description 20
- 239000002245 particle Substances 0.000 claims description 19
- CPUDPFPXCZDNGI-UHFFFAOYSA-N triethoxy(methyl)silane Chemical compound CCO[Si](C)(OCC)OCC CPUDPFPXCZDNGI-UHFFFAOYSA-N 0.000 claims description 19
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical group [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 claims description 15
- 239000003054 catalyst Substances 0.000 claims description 14
- FWDBOZPQNFPOLF-UHFFFAOYSA-N ethenyl(triethoxy)silane Chemical compound CCO[Si](OCC)(OCC)C=C FWDBOZPQNFPOLF-UHFFFAOYSA-N 0.000 claims description 14
- 229910052751 metal Inorganic materials 0.000 claims description 14
- 239000002184 metal Substances 0.000 claims description 14
- 239000002904 solvent Substances 0.000 claims description 11
- 150000004703 alkoxides Chemical group 0.000 claims description 10
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 claims description 10
- 239000004065 semiconductor Substances 0.000 claims description 5
- 239000007788 liquid Substances 0.000 claims description 2
- 125000001453 quaternary ammonium group Chemical group 0.000 claims description 2
- 238000005507 spraying Methods 0.000 claims description 2
- 230000008569 process Effects 0.000 abstract description 3
- 238000006555 catalytic reaction Methods 0.000 abstract 1
- 239000000243 solution Substances 0.000 description 65
- 230000003667 anti-reflective effect Effects 0.000 description 61
- KFZMGEQAYNKOFK-UHFFFAOYSA-N Isopropanol Chemical class CC(C)O KFZMGEQAYNKOFK-UHFFFAOYSA-N 0.000 description 26
- VXUYXOFXAQZZMF-UHFFFAOYSA-N titanium(IV) isopropoxide Chemical group CC(C)O[Ti](OC(C)C)(OC(C)C)OC(C)C VXUYXOFXAQZZMF-UHFFFAOYSA-N 0.000 description 20
- CSCPPACGZOOCGX-UHFFFAOYSA-N Acetone Chemical compound CC(C)=O CSCPPACGZOOCGX-UHFFFAOYSA-N 0.000 description 18
- 238000006243 chemical reaction Methods 0.000 description 14
- 239000011521 glass Substances 0.000 description 14
- 239000010408 film Substances 0.000 description 11
- 239000011541 reaction mixture Substances 0.000 description 11
- 238000012360 testing method Methods 0.000 description 11
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 10
- 239000000203 mixture Substances 0.000 description 8
- 238000002834 transmittance Methods 0.000 description 8
- 230000005540 biological transmission Effects 0.000 description 7
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 description 6
- 239000000499 gel Substances 0.000 description 6
- LLHKCFNBLRBOGN-UHFFFAOYSA-N propylene glycol methyl ether acetate Chemical compound COCC(C)OC(C)=O LLHKCFNBLRBOGN-UHFFFAOYSA-N 0.000 description 6
- 238000001228 spectrum Methods 0.000 description 5
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 4
- GRYLNZFGIOXLOG-UHFFFAOYSA-N Nitric acid Chemical compound O[N+]([O-])=O GRYLNZFGIOXLOG-UHFFFAOYSA-N 0.000 description 4
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 4
- WYURNTSHIVDZCO-UHFFFAOYSA-N Tetrahydrofuran Chemical compound C1CCOC1 WYURNTSHIVDZCO-UHFFFAOYSA-N 0.000 description 4
- 230000008901 benefit Effects 0.000 description 4
- GAURFLBIDLSLQU-UHFFFAOYSA-N diethoxy(methyl)silicon Chemical compound CCO[Si](C)OCC GAURFLBIDLSLQU-UHFFFAOYSA-N 0.000 description 4
- 238000010790 dilution Methods 0.000 description 4
- 239000012895 dilution Substances 0.000 description 4
- YYLGKUPAFFKGRQ-UHFFFAOYSA-N dimethyldiethoxysilane Chemical compound CCO[Si](C)(C)OCC YYLGKUPAFFKGRQ-UHFFFAOYSA-N 0.000 description 4
- 238000009472 formulation Methods 0.000 description 4
- 238000004519 manufacturing process Methods 0.000 description 4
- 229910017604 nitric acid Inorganic materials 0.000 description 4
- XEKOWRVHYACXOJ-UHFFFAOYSA-N Ethyl acetate Chemical compound CCOC(C)=O XEKOWRVHYACXOJ-UHFFFAOYSA-N 0.000 description 3
- 239000002253 acid Substances 0.000 description 3
- 238000006460 hydrolysis reaction Methods 0.000 description 3
- 238000005259 measurement Methods 0.000 description 3
- 230000003287 optical effect Effects 0.000 description 3
- 239000007787 solid Substances 0.000 description 3
- 239000007921 spray Substances 0.000 description 3
- WYTZZXDRDKSJID-UHFFFAOYSA-N (3-aminopropyl)triethoxysilane Chemical compound CCO[Si](OCC)(OCC)CCCN WYTZZXDRDKSJID-UHFFFAOYSA-N 0.000 description 2
- LLNAMUJRIZIXHF-CLFYSBASSA-N (z)-2-methyl-3-phenylprop-2-en-1-ol Chemical compound OCC(/C)=C\C1=CC=CC=C1 LLNAMUJRIZIXHF-CLFYSBASSA-N 0.000 description 2
- ARXJGSRGQADJSQ-UHFFFAOYSA-N 1-methoxypropan-2-ol Chemical compound COCC(C)O ARXJGSRGQADJSQ-UHFFFAOYSA-N 0.000 description 2
- RGAHQVPQZZNNOV-UHFFFAOYSA-N 2-diethoxyphosphorylethyl(triethoxy)silane Chemical compound CCO[Si](OCC)(OCC)CCP(=O)(OCC)OCC RGAHQVPQZZNNOV-UHFFFAOYSA-N 0.000 description 2
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical class [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 2
- XEEYBQQBJWHFJM-UHFFFAOYSA-N Iron Chemical compound [Fe] XEEYBQQBJWHFJM-UHFFFAOYSA-N 0.000 description 2
- 238000007792 addition Methods 0.000 description 2
- 239000007864 aqueous solution Substances 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 239000006227 byproduct Substances 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- BODAWKLCLUZBEZ-UHFFFAOYSA-N diethoxy(phenyl)silicon Chemical compound CCO[Si](OCC)C1=CC=CC=C1 BODAWKLCLUZBEZ-UHFFFAOYSA-N 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 238000005227 gel permeation chromatography Methods 0.000 description 2
- 229910052739 hydrogen Inorganic materials 0.000 description 2
- 239000001257 hydrogen Substances 0.000 description 2
- 230000007062 hydrolysis Effects 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 239000000178 monomer Substances 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- 238000006116 polymerization reaction Methods 0.000 description 2
- 238000012545 processing Methods 0.000 description 2
- 230000035484 reaction time Effects 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- 239000005361 soda-lime glass Substances 0.000 description 2
- 238000004611 spectroscopical analysis Methods 0.000 description 2
- 238000004528 spin coating Methods 0.000 description 2
- 238000003756 stirring Methods 0.000 description 2
- YLQBMQCUIZJEEH-UHFFFAOYSA-N tetrahydrofuran Natural products C=1C=COC=1 YLQBMQCUIZJEEH-UHFFFAOYSA-N 0.000 description 2
- VZSRBBMJRBPUNF-UHFFFAOYSA-N 2-(2,3-dihydro-1H-inden-2-ylamino)-N-[3-oxo-3-(2,4,6,7-tetrahydrotriazolo[4,5-c]pyridin-5-yl)propyl]pyrimidine-5-carboxamide Chemical compound C1C(CC2=CC=CC=C12)NC1=NC=C(C=N1)C(=O)NCCC(N1CC2=C(CC1)NN=N2)=O VZSRBBMJRBPUNF-UHFFFAOYSA-N 0.000 description 1
- 229920003171 Poly (ethylene oxide) Polymers 0.000 description 1
- UWHCKJMYHZGTIT-UHFFFAOYSA-N Tetraethylene glycol, Natural products OCCOCCOCCOCCO UWHCKJMYHZGTIT-UHFFFAOYSA-N 0.000 description 1
- JLFZXEWJEUGNQC-UHFFFAOYSA-N [methyl-(silylamino)silyl]methane Chemical compound C[SiH](C)N[SiH3] JLFZXEWJEUGNQC-UHFFFAOYSA-N 0.000 description 1
- NIXOWILDQLNWCW-UHFFFAOYSA-N acrylic acid group Chemical group C(C=C)(=O)O NIXOWILDQLNWCW-UHFFFAOYSA-N 0.000 description 1
- 125000000217 alkyl group Chemical group 0.000 description 1
- 238000004630 atomic force microscopy Methods 0.000 description 1
- CKEGKURXFKLBDX-UHFFFAOYSA-N butan-1-ol;hafnium Chemical compound [Hf].CCCCO.CCCCO.CCCCO.CCCCO CKEGKURXFKLBDX-UHFFFAOYSA-N 0.000 description 1
- BSDOQSMQCZQLDV-UHFFFAOYSA-N butan-1-olate;zirconium(4+) Chemical compound [Zr+4].CCCC[O-].CCCC[O-].CCCC[O-].CCCC[O-] BSDOQSMQCZQLDV-UHFFFAOYSA-N 0.000 description 1
- 238000009675 coating thickness measurement Methods 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 238000006482 condensation reaction Methods 0.000 description 1
- 238000007607 die coating method Methods 0.000 description 1
- 238000007865 diluting Methods 0.000 description 1
- 239000003085 diluting agent Substances 0.000 description 1
- 238000003618 dip coating Methods 0.000 description 1
- SZXQTJUDPRGNJN-UHFFFAOYSA-N dipropylene glycol Chemical compound OCCCOCCCO SZXQTJUDPRGNJN-UHFFFAOYSA-N 0.000 description 1
- 239000012153 distilled water Substances 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 230000005611 electricity Effects 0.000 description 1
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 1
- 239000005329 float glass Substances 0.000 description 1
- 230000008014 freezing Effects 0.000 description 1
- 238000007710 freezing Methods 0.000 description 1
- 230000003116 impacting effect Effects 0.000 description 1
- 229910052909 inorganic silicate Inorganic materials 0.000 description 1
- 229910052742 iron Inorganic materials 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 230000020477 pH reduction Effects 0.000 description 1
- 125000001997 phenyl group Chemical group [H]C1=C([H])C([H])=C(*)C([H])=C1[H] 0.000 description 1
- 239000004033 plastic Substances 0.000 description 1
- 229920003023 plastic Polymers 0.000 description 1
- 229920001223 polyethylene glycol Polymers 0.000 description 1
- 239000002861 polymer material Substances 0.000 description 1
- 239000011148 porous material Substances 0.000 description 1
- 238000003825 pressing Methods 0.000 description 1
- 239000000047 product Substances 0.000 description 1
- 230000001737 promoting effect Effects 0.000 description 1
- ZGSOBQAJAUGRBK-UHFFFAOYSA-N propan-2-olate;zirconium(4+) Chemical compound [Zr+4].CC(C)[O-].CC(C)[O-].CC(C)[O-].CC(C)[O-] ZGSOBQAJAUGRBK-UHFFFAOYSA-N 0.000 description 1
- 125000002572 propoxy group Chemical group [*]OC([H])([H])C(C([H])([H])[H])([H])[H] 0.000 description 1
- 125000001436 propyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- 238000007761 roller coating Methods 0.000 description 1
- 238000004626 scanning electron microscopy Methods 0.000 description 1
- 230000003595 spectral effect Effects 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- TXDNPSYEJHXKMK-UHFFFAOYSA-N sulfanylsilane Chemical class S[SiH3] TXDNPSYEJHXKMK-UHFFFAOYSA-N 0.000 description 1
- 230000003746 surface roughness Effects 0.000 description 1
- 238000005496 tempering Methods 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- BPSIOYPQMFLKFR-UHFFFAOYSA-N trimethoxy-[3-(oxiran-2-ylmethoxy)propyl]silane Chemical compound CO[Si](OC)(OC)CCCOCC1CO1 BPSIOYPQMFLKFR-UHFFFAOYSA-N 0.000 description 1
- YUYCVXFAYWRXLS-UHFFFAOYSA-N trimethoxysilane Chemical class CO[SiH](OC)OC YUYCVXFAYWRXLS-UHFFFAOYSA-N 0.000 description 1
- 238000005406 washing Methods 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L31/00—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
- H01L31/02—Details
- H01L31/0216—Coatings
- H01L31/02161—Coatings for devices characterised by at least one potential jump barrier or surface barrier
- H01L31/02167—Coatings for devices characterised by at least one potential jump barrier or surface barrier for solar cells
- H01L31/02168—Coatings for devices characterised by at least one potential jump barrier or surface barrier for solar cells the coatings being antireflective or having enhancing optical properties for the solar cells
-
- C—CHEMISTRY; METALLURGY
- C03—GLASS; MINERAL OR SLAG WOOL
- C03C—CHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
- C03C17/00—Surface treatment of glass, not in the form of fibres or filaments, by coating
- C03C17/28—Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material
- C03C17/30—Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material with silicon-containing compounds
-
- C—CHEMISTRY; METALLURGY
- C09—DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
- C09D—COATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
- C09D5/00—Coating compositions, e.g. paints, varnishes or lacquers, characterised by their physical nature or the effects produced; Filling pastes
-
- G—PHYSICS
- G02—OPTICS
- G02B—OPTICAL ELEMENTS, SYSTEMS OR APPARATUS
- G02B1/00—Optical elements characterised by the material of which they are made; Optical coatings for optical elements
- G02B1/10—Optical coatings produced by application to, or surface treatment of, optical elements
- G02B1/11—Anti-reflection coatings
- G02B1/111—Anti-reflection coatings using layers comprising organic materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L31/00—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
- H01L31/02—Details
- H01L31/0216—Coatings
- H01L31/02161—Coatings for devices characterised by at least one potential jump barrier or surface barrier
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L31/00—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
- H01L31/04—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
- H01L31/042—PV modules or arrays of single PV cells
-
- C—CHEMISTRY; METALLURGY
- C03—GLASS; MINERAL OR SLAG WOOL
- C03C—CHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
- C03C2217/00—Coatings on glass
- C03C2217/70—Properties of coatings
- C03C2217/73—Anti-reflective coatings with specific characteristics
- C03C2217/732—Anti-reflective coatings with specific characteristics made of a single layer
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y02—TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
- Y02E—REDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
- Y02E10/00—Energy generation through renewable energy sources
- Y02E10/50—Photovoltaic [PV] energy
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y02—TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
- Y02E—REDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
- Y02E10/00—Energy generation through renewable energy sources
- Y02E10/50—Photovoltaic [PV] energy
- Y02E10/52—PV systems with concentrators
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/25—Web or sheet containing structurally defined element or component and including a second component containing structurally defined particles
- Y10T428/254—Polymeric or resinous material
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/31504—Composite [nonstructural laminate]
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/31504—Composite [nonstructural laminate]
- Y10T428/31652—Of asbestos
- Y10T428/31663—As siloxane, silicone or silane
Definitions
- the invention relates generally to anti-reflective coatings for optically transparent elements and more particularly to anti-reflective coatings for glass covers used in photovoltaic cell applications.
- Anti-reflective (AR) coatings are used in several industries, including in the manufacture of photovoltaic (PV) modules, to reduce the reflection fraction of incident light as light passes through an optically transparent element such as glass.
- the goal of AR coatings is to achieve a refractive index that is as close to 1.23 as possible to maximize light transmission over a broad band of light wavelengths.
- One or more layers of a low refractive index coating can achieve improved transmittance in a broad wavelength range and a wide range of incident angles.
- Such coatings can be deposited (at atmospheric pressure or without vacuum) as sol- gel materials and can be highly cost-effective.
- These thin anti-reflective coatings which may be formed from a silicon dioxide sol-gel applied to the glass cover by conventional coating techniques, have been reported to improve solar light transmittance by about two to three percent in the visible portion of the light spectrum.
- Such sol-gels have been formed using several mechanisms including via hydrolysis/condensation reaction of alkoxy silanes. See, e.g., G. Wu et al., "A novel route to control refractive index of sol-gel derived nanoporous films used as broadband antireflective coatings," Materials Science and Engineering B78 (2000), pp. 135-139.
- AR coatings formed from silicon dioxide coatings would benefit from improved hardness, adhesion, shelf-life and/or processing efficiency.
- Embodiments disclosed herein pertain to AR coatings and coating solutions, optically sensitive elements such as photovoltaic modules that employ AR coatings, and improved processes for preparing AR coatings and coating solutions.
- a first example provides an optically transparent element comprising an optically transparent substrate; and an anti-reflective coating disposed on at least one surface of the optically transparent substrate, the anti-reflective coating comprising a polymer, the polymer comprising, at least one tetraalkoxy silane residue; and at least a second alkoxy silane residue selected from the group consisting of trialkoxy silanes, dialkoxy silanes, monoalkoxy silanes, and combinations thereof, wherein the polymer comprises at least 50 mole percent of the at least one tetraalkoxy silane residue based on the total moles of polymer and the coating comprises polymer particles having an average size of no more than 100nm.
- a second example provides the optically transparent element of claim 1 wherein the at least one alkoxy silane residue is tetraethoxy silane.
- a third example provides the optically transparent element of any of examples 1-2, wherein the second alkoxy silane residue is selected from the group consisting of methyltriethoxy silane and vinyltriethoxy silane.
- a fourth example provides the optically transparent element of any of examples 1-3, wherein the at least one tetraalkoxy silane residue is tetraethoxy silane and the second alkoxy silane is methyltriethoxy silane.
- a fifth example provides the optically transparent element of example 4, further comprising a vinyltriethoxy silane residue.
- a sixth example provides the optically transparent element of any of examples 1-5,wherein the polymer consists essentially of tetraethoxy silane residues and methyltriethoxy silane residues and has an average particle size of between 15 and 100 nm.
- a seventh example provides the optically transparent element of any of examples 1-6, wherein the polymer further comprises a metal alkoxide residue.
- An eighth example provides the optically transparent element of any of examples 1-7, wherein the polymer further comprises a titanium isopropoxide residue.
- a ninth example provides the optically transparent element of any of examples 1-8, comprising at least about 20 mole percent of the second alkoxy silane residue.
- a tenth example provides the optically transparent element of any of examples 1-9, comprising between about 20 mole percent and 40 mole percent of the second alkoxy silane residue.
- An eleventh example provides a photovoltaic module comprising at least one semiconductor layer; an optically transparent layer disposed over the at least one semiconductor layer; and an anti-reflective coating disposed on at least one surface of the optically transparent substrate, the anti-reflective coating comprising a polymer, the polymer comprising at least one tetraalkoxy silane residue; and at least a second alkoxy silane residue selected from the group consisting of trialkoxy silanes, dialkoxy silanes, monoalkoxy silanes, and combinations thereof, wherein the polymer comprises at least 50 mole percent of the at least one tetraalkoxy silane residue based on the total moles of polymer and the coating comprises polymer particles having an average size of no more than 100nm.
- a twelfth example provides the photovoltaic module of example 11 , wherein the at least one tetraalkoxy silane is tetraethoxy silane and the second alkoxy silane residue is selected from the group consisting of methyltriethoxy silane, vinyltriethoxy silane and combinations thereof.
- a thirteenth example provides a method of producing a coating solution, comprising combining at least a first tetraalkoxy silane material, a second alkoxy silane material, a base catalyst and a solvent to form a coating, wherein the second alkoxy silane material is selected from the group consisting of trialkoxy silanes, dialkoxy silanes, monoalkoxy silanes and combinations thereof; maintaining the pH of the coating solution for a sufficient period of time at a sufficient temperature to form polymer particles having an average particle size between about 15 nm and about 100 nm; and reducing the pH of the coating solution to less than about 5.0.
- a fourteenth example provides the method of example thirteen wherein, the base catalyst comprises at least one quaternary ammonium.
- the base catalyst comprises tetrabutylammonium hydroxide, tetramethylammonium hydroxide or a combination thereof.
- a sixteenth example provides the method of any of examples 13-15, wherein the coating solution is maintained at between about 35° C and about 70° C for between about 1 and about 5 hours prior to reducing the pH.
- a seventeenth example provides the method of any of examples 13-16, wherein the solvent comprises water.
- An eighteenth example provides the method of any of examples 13-17, wherein the pH is reduced to no more than 2.0.
- a nineteenth example provides the method of any of examples 13-18, further comprising the step of storing the coating solution for at least about 24 hours.
- a twentieth example provides the method of any of examples 13-19, further comprising the step of freezing the coating solution.
- a twenty-first example provides a method of forming an optically transparent element comprising dispensing a coating solution onto an optically transparent substrate, the coating solution formed by: combining at least a first tetraalkoxy silane material, a second alkoxy silane material, a base catalyst and a solvent, wherein the second alkoxy silane material is selected from the group consisting of trialkoxy silanes, dialkoxy silanes, monoalkoxy silanes and combinations thereof; maintaining the pH of the coating solution for a sufficient period of time at a sufficient temperature to form polymer particles having an average particle size between about 15 nm and about 100 nm, and reducing the pH of the coating solution to less than about 5.0; and curing the dispensed coating solution to form an anti-reflective coating on the optically transparent substrate.
- a twenty-second example provides the method of example 21 , wherein the coating solution is dispensed by spraying.
- a twenty-third example provides the method of any of examples 21-22, wherein prior to dispensing, the coating solution is changed from a frozen state to a liquid state.
- a twenty-fourth example provides an optically transparent element formed by the method of any of examples 21-23.
- a twenty-fifth example provides an anti-reflective coating solution comprising a polymer comprising: at least one tetraalkoxy silane residue; and at least a second alkoxy silane residue selected from the group consisting of trialkoxy silanes, dialkoxy silanes, monoalkoxy silanes, and combinations thereof, wherein the polymer comprises at least 50 mole percent of the at least one tetraalkoxy silane residue based on the total moles of polymer and the coating comprises polymer particles having an average size of no more than 100nm; a solvent, wherein the coating solution has a polymer particle concentration of up to about 25 wt% and a viscosity of no more than 2.0 cP, and wherein the coating solution has a viscosity of no more than 2.0 cP and a pH of no more than 5.0 for at least 24 hours at 25 ° C.
- a twenty-sixth example provides the anti-reflective coating solution of example 25 wherein the polymer
- Figure 1 is a flow chart of a method of producing an optically transparent element including an AR coating in accordance with an embodiment of the invention.
- Figure 2 provides a schematic illustration of a photovoltaic cell including an AR coating in accordance with an embodiment of the invention.
- Figure 3 is a schematic illustration of a portion of a polymer molecule in accordance with one embodiment of the present invention.
- FIG 1 is a flow chart illustrating a method 10 of forming an AR coating solution and optically transparent element according to one embodiment.
- an AR coating solution is formed by combining at least two different alkoxy silane materials in a solvent and in the presence of a base catalyst under suitable reaction conditions to cause polymerization of the alkoxy silane materials (Block 20).
- the resulting AR coating solution includes a polymer having at least two different alkoxy silane residues.
- an acid may be added to the AR coating solution to adjust the solution pH (Block 30) and/or the AR coating solution may be further combined with at least one additional solvent (Block 40).
- exemplary AR coating solutions are formed without the use of poragens such as polyethylene glycols or polyethylene oxides that evaporate during thermal processing steps to form pores. Additionally, the AR coating solutions are formed without having to filter the resulting polymer from the reaction solution or to remove components in the solution as required by other reaction methods.
- the AR coating solution is dispensed onto an optically transparent substrate such as a glass substrate (e.g., sodalime glass, float glass, borosilicate and low iron sodalime glass), plastic cover, acrylic Fresnel lense or other optically transparent substrate (Block 50).
- the AR coating solution is then cured to form an AR coating on the optically transparent substrate (Block 60).
- alkoxy silanes may be used to form the AR coating solution.
- Suitable alkoxy silanes that comprise the first of the at least two alkoxy silane materials include tetraalkoxy silanes, which may include one or more ethoxy, methoxy, and/or propoxy groups as well as hydrogen, methyl, ethyl or propyl groups.
- at least one of the alkoxy silane materials is tetraethoxy silane (TEOS).
- a second alkoxy silane material may be added to promote improved AR coating adhesion and/or other improved coating properties.
- these materials include trialkoxy silanes such as methyltriethoxy silane (MTEOS), aminopropyltriethoxy silane (APTEOS) and APTEOS-triflate, vinyltriethoxy silane (VTEOS), and diethylphosphatoethyltriethoxy silane.
- MTEOS methyltriethoxy silane
- APTEOS aminopropyltriethoxy silane
- VTEOS vinyltriethoxy silane
- diethylphosphatoethyltriethoxy silane diethylphosphatoethyltriethoxy silane
- dialkoxy silanes such as methyldiethoxy silane (MDEOS) dimethyldiethoxy silane (DMDEOS), and phenyldiethoxy silane (PDEOS).
- Suitable monoalkoxy silanes include trimethoxy silanes such as (3-glycidoxypropyl)-trimethoxy silane. Carbosilanes, mercapto silanes, hydridosilanes and silazanes such as dimethyldisilazane may also be suitable. Combinations of these second alkoxy silane materials may also be used. For example, MTEOS and/or VTEOS may be particularly suitable for improving adhesion and/or hardness.
- the second alkoxy silane material or combination of materials may be combined with the first alkoxy silane material in an amount ranging from up to about 50 mol% to 40 mol% to 35 mol% to 25 mol% to 15 mol% based on the total moles of alkoxy silane material.
- the second alkoxy silane material may be added in an amount ranging from at least about 10 mol% to at least about 40 mol% based on the total moles of both alkoxy silane materials.
- the molar ratio of the first alkoxy silane to the second alkoxy silane material may range from 1 :1 to 1000:1 , more particularly from 10:1 to 500:1 and even more particularly from 25:1 to 100:1.
- At least one metal alkoxide may be included in the AR coating solution.
- Suitable metal alkoxides include metal isopropoxides and metal butoxides.
- metal isopropoxides include zirconium isopropoxide and titanium isopropoxide (TIPO).
- suitable metal butoxides include hafnium-n-butoxide and zirconium-n-butoxide. TIPO may be particularly suitable for improving AR coating hardness.
- the AR coating solution includes less than 1 mol% metal alkoxide based on the total moles of metal alkoxide and alkoxy silane.
- the AR coating solution includes TEOS and MTEOS. In another embodiment, the AR coating solution includes TEOS, MTEOS, VTEOS. In a further embodiment, the AR coating solution includes TEOS, MTEOS, VTEOS and TIPO.
- Suitable base catalysts added to the AR coating solution include, for example, quaternary amine compounds of the formula RiR 2 RsR 4 N + OH " in which R 1 , R 2 , R3 and R 4 are each independently phenyl, hydrogen or a C-i.-i ⁇ alkyl. In some embodiments, suitable base catalysts include quaternary amine hydroxides such as tetrabutylammonium hydroxide and tetramethylammonium hydroxide. In some embodiments, suitable base catalysts include aqueous solutions of these components, and may optionally include additional distilled water beyond that found in the base catalyst aqueous solutions.
- the solvent is free of acetone.
- STR jacketed stirred tank reactor
- a hydrolysis reaction takes place to form a polymer in solution.
- the polymer contained in the solution may vary from linear or randomly branched chains, to porous matrices, to dense colloidal particles.
- the resulting polymer will include residues of at least two different alkoxy silane materials as described above and/or the optional metal alkoxide materials.
- reductidue as used herein is intended to refer to a portion of the polymer molecule derived from the alkoxy silane and/or metal alkoxide materials initially added to the AR coating solution.
- tetraethoxy silane reacts under the foregoing conditions to form units of SiO 4 , which would constitute one example of a tetraethoxy silane residue.
- certain by-products may be formed and contained in the AR coating solution either as part of the polymer or as a separate component. For example, the hydrolysis of TEOS may result in the formation of ethanol as a byproduct.
- the polymer includes at least two different alkoxy silane residues derived from the alkoxy silane materials discussed above.
- the polymer includes at least one TEOS residue, at least one MTEOS residue or both.
- the polymer additionally includes at least one VTEOS residue.
- the polymer additionally includes at least one TIPO residue.
- the pH of the AR coating solution can be adjusted to between about O to about 4.0, more particularly, from about O to about 2.0 and even more particularly from about 0.5 to about 1.7 using an acid such as nitric acid after a suitable reaction time.
- This pH reduction may affect the polymerization condition, which in turn controls the polymer particle size contained in AR coating solution and subsequently cured coating.
- the average particle size of the polymer in the AR coating solution may be less than 10 nm, more particularly, less than 1 nm.
- the average particle size of the AR coating after curing may be between about 15 and about 100 nm, more particularly, between about 25 and about 75 nm, and the polymer may have a molecular weight in the range of about 25,000 to about 150,000 Dalton.
- the AR coating may also be further diluted with a solvent that includes one or more of water, IPA, acetone and/or PGMEA. Additional acid may be added during dilution to maintain a desired pH.
- the AR coating solution may be dispensed onto a surface of an optically transparent element by a variety of generally known coating methods including spin- on, slot die, spray, dip, roller and other coating techniques.
- the amount of solvent included in the initial reaction or added to the AR coating solution may be varied such that the solids concentration of the AR coating solution ranges from about 1 to about 25 weight percent depending upon the dispensing method. In some embodiments, there may be manufacturing advantages to forming a more concentrated batch in the STR, followed by diluting to a desired concentration. In alternate embodiments, dilution could occur prior to or during the initial mixing stage. For dip coating, a solids concentration of about 10 to 20 weight percent is desired. For other coating methods such as spin, slot die and spray, a lower solids concentration of about 1 to 6 weight percent may be desired. Embodiments of the present invention may be particularly suitable for spray application due to the relatively small polymer particle size achievable by the manufacturing process described above. The viscosity of the resulting coating solution may vary from between about 0.75 cP to about 2.0 cP.
- the AR coating solution of the present invention is ready for use without removing the particles from solution. Additionally, the AR coating solutions formed by embodiments of the present invention may remain stable for an extended period of time. As used herein, stability refers to the optical and/or mechanical performance characteristics of the coating solution including, without limitation, light transmittance, viscosity, adhesion and/or pH. At room temperature, coating solutions of the present invention may remain stable for at least 24 hours, more particularly, about one week, even more particularly, about 4 weeks. Additionally, coating solutions of the present invention may be stored in a -20° C to -40° C freezer for up to at least six months without materially impacting the optical or mechanical properties desired for glass coatings.
- the ability to preserve AR coatings for an extended period of time may provide a significant manufacturing advantage, particularly if the coating solution is transported to an off-site location and/or stored for a period of time prior to use.
- the AR coating solution is cured onto the optically transparent substrate.
- the AR coating solution can be subjected to a high temperature heat tempering step, ranging from about 600°C to about 750°C depending on the glass composition, for between about 1 minute and about 1 hour to cure the coatings. It will be appreciated that the various alkoxysilane and/or metal alkoxide residues described above may be further modified during the curing process.
- AR coated optically transparent elements may possess improved light transmittance characteristics.
- the AR coating may have a refractive index in the range of about 1.15 to about 1.3, resulting in up to about a 4.26 percent transmission gain in the visible portion (350 to 800 nanometers) of the light spectrum and/or up to about a 3 percent transmission gain in the infrared portion (800 to 2500 nanometers) of the light spectrum.
- both sides of an optically transparent substrate are coated, up to about an 8-9 percent transmission gain in the visible portion of the light spectrum and up to about a 5-7 percent transmission gain in the infrared portion of the light spectrum may be obtained. Exemplary data relating to these properties are presented in the Examples section set forth below.
- the absolute gain in transmittance is independent of the coating methods used as long as the thickness of the AR film is tuned to the incident light wavelength (the AR film thickness is about 1/4th the wavelength of the incident light).
- AR coatings of the present invention may also have improved adhesion and/or hardness compared to conventional sol gels.
- AR coatings that include TIPO may have self- cleaning properties due to the generation of hydroxyl radicals in the presence of water and solar UV light.
- the hydroxyl radicals may oxidize water insoluble organic dirt on the glass surface to form highly water-soluble compounds that are washed out during rain.
- the self-cleaning properties could be optimized according to the amount of TIPO added.
- a TIPO content of about 0.0005 moles to about 0.003 moles is exemplary.
- FIG. 2 is a cross-sectional view of a photovoltaic module (e.g., solar cell) for converting light to electricity, according to an embodiment of this invention.
- a photovoltaic module e.g., solar cell
- Incoming or incident light from the sun or the like is first incident on AR coating 1 , passes therethrough and then through glass substrate 2 and front transparent electrode 3 before reaching the photovoltaic semiconductor (active film) 4 of the module.
- the module may also include, but does not require, a reflection enhancement oxide and/or EVA film 5, and/or a back metallic contact and/or reflector 6 as shown in FIG. 2.
- Other types of photovoltaic devices may of course be used, and the FIG. 2 module is merely provided for purposes of example and understanding. It will also be understood that a module may include a single AR coated optically transparent substrate that covers multiple photovoltaic cells connected in series.
- the AR coating 1 reduces reflections of the incident light and permits more light to reach the thin film semiconductor film 4 of the photovoltaic module thereby permitting the device to act more efficiently. While certain of the AR coatings 1 discussed above are used in the context of the photovoltaic devices/modules, this invention is not so limited. AR coatings according to this invention may be used in other applications. Also, other layer(s) may be provided on the glass substrate under the AR coating so that the AR coating is considered disposed on the glass substrate even if other layers are provided therebetween.
- Examples 1-16 122 grams of isopropanol and 62 grams of acetone were charged into a reactor. In Examples 1-10, 0.09 moles of tetraethoxy silane (TEOS) and 0.01 moles of methyltriethoxy silane (MTEOS) were added to the reactor while stirring with an agitator. In Examples 12-16, the molar ratio of TEOS to MTEOS was varied. The total moles of all monomers were kept at a constant total of 0.1 moles to simplify kinetics measurements. Table 1 below sets forth the specific component amounts used for each Example.
- TEOS tetraethoxy silane
- MTEOS methyltriethoxy silane
- Tetrabutylammonium hydroxide (TBAH) (0.002 moles of 40% aq. solution) or tetramethylammonium hydroxide (TMAH) (0.002 moles of 25% aq. solution) was added as a base catalyst and the reaction mixture was then heated to 35-70 C for 1- 3.5 h. The reaction mixture was then cooled and nitric acid was added to the reaction mixture in a semi-batch fashion to adjust the pH of the reaction mixture to 0.5-1.7. The reaction mixture was then cooled and diluted with IPA, Acetone and/or PGMEA. The molecular weight was measured by Gel permeation chromatography and it ranged from about 25,000-150,000 Dalton depending on reaction conditions.
- the polymer formulation was stored in a -20 ° C to -40 ° C freezer. [0046] After storing in a frozen state, these formulations were then deposited on glass or transparent substrates. Dip, slot, die, roller and spin coating techniques were attempted. In almost all cases the desired coating thicknesses of 125nm was obtained by curing at 200-700 C, more favorably at 600-750 C, in air or nitrogen after 3 minutes to 1 hour. A broadband spectroscopy tool available from n&k Technology, Inc. was used for coating thickness measurements. Average polymer particle size in the coating was determined to be between 10 and 100 nm by SEM analysis.
- Transmittance data were measured by UV-Visible spectral measurement that measures wavelengths from 300-2500 nm.
- a broadband spectroscopy tool available from n&k Technology, Inc. was used for refractive index measurements. Tables 1 and 2 provide composition and performance data for Examples 1-16.
- a Pencil Hardness test was used as an indicator of scratch resistance/hardness and was performed by attempting to scratch the AR coating with pencils of various hardness (e.g., 3B, 4B, etc.).
- Adhesion Tape Test was used as an indicator of coating adhesion and was performed by forming cross-hatches in the coating, pressing an adhesive-backed tape material to the coated substrate, pulling the tape away from the coating and then studying the effect the tape had on the cross-hatched portions of the coating.
- a Contact Angle Test was used to determine the contact angle of the AR coated substrate using a VCA 2500 instrument available from AST Products, Inc. The results of these tests are shown in Table 2.
- Example 1 1 was also modified to include 0.00015 mole of diethylphosphatoethyltriethoxy silane (while maintaining 1 mole total monomer), in the reaction solution. This improved hardness and adhesion, however, the refractive index of the coated film increased from 1.2 to 1.3. Certain examples were also treated with a 50-50 mixture of ethanol and water before curing in order to remove TBAN (tetrabutyl ammonium nitrate) that would otherwise be present on the film as a result of reaction between the TBAH and the nitric acid. It was found that this washing step further improved the hardness of the films. In some cases a hardness of 3H could be achieved by this treatment prior to the curing step. [0052] Example 16 was further subjected to several durability tests as set forth in Table 3 below to determine whether adhesion or transmittance was lost.
- TBAN tetrabutyl ammonium nitrate
- Example 16 passed all durability tests.
- Samples of Example 16 was further tested to confirm stability after approximately ten days at room temperature. As shown in Table Four below, coating solution samples were formed having varying molar concentrations of polymer material.
- Tables 5-6 provide composition and performance data for Examples 17- 42, in which different types and amounts of one or more adhesion promoting materials were added.
- Examples 17-40 122 grams of isopropanol and 62 grams of acetone were charged into a reactor.
- Examples 41-42 366 grams of isopropanol and 250 grams of acetone were charged in a reactor.
- TEOS tetraethoxy silane
- MTEOS methyltriethoxy silane
- Examples 17-40 TBAH (0.002 moles of 40% aq. solution) was used as the base.
- Examples 41 and 42 0.006 moles of TBAH was added as a base catalyst, and additional water was also added.
- the reaction mixture was then heated to 35-70 ° C for 3.5 h.
- the reaction mixture was then cooled to 45 C and nitric acid was added to the reaction mixture in a semi-batch fashion to adjust the pH of the reaction mixture to 0.5-1.7.
- the reaction mixture was then cooled and diluted with IPA, Acetone, PGMEA, and/or water.
- the reaction mixture was diluted with a combination of 14 weight percent PGMEA, 46.472 weight percent IPA, 37.38 weight percent acetone and 1.87 weight percent water.
- the polymer formulation was stored in a -20 C to -40 ° C freezer. The molecular weight was measured by GPC and it was around 25,000-150,000 Dalton depending on reaction conditions. [0061] These formulations were then deposited on glass substrates by spin coating. In almost all cases the desired coating thicknesses of 125nm was obtained after cure at 200-700 C, more favorably at 600-750 ° C, in air or nitrogen after 3 minutes to 1 hour.
- Examples 41 and 42 included slightly different tests, including average light transmission gain from 350 to 1200 nm and a Surface Roughness (RMS) Test, as set forth in Table 7, which was measured by scanning force microscopy.
- the Scratch Test was measured pursuant to EN-1096- 2.
- Examples 17-42 indicate that the addition of VTEOS, DMDEOS, MDEOS and TIPO improved certain physical characteristics of the AR coatings, including scratch resistance/hardness and/or adhesion.
- VTEOS in particular, appeared to improve film hardness and uniformity.
Abstract
Anti-reflective coatings and coating solutions, optically transparent elements and improved processes for preparing AR coatings and coating solutions are described. The anti-reflective coatings are formed from at least two different alkoxy silane materials in a base catalyzed reaction.
Description
ANTI-REFLECTIVE COATINGS FOR OPTICALLY TRANSPARENT SUBSTRATES
RELATED APPLICATION
[0001] This application claims the benefit under 35 U. S. C. §119(e) of U.S. Provisional Application Serial No. 61/268,231 , entitled "ANTI-REFLECTIVE COATINGS FOR SOLAR MODULE GLASS AND SOLAR CELLS AND LENSES", filed June 10, 2009. This application is incorporated by reference herein in its entirety.
TECHNICAL FIELD
[0002] The invention relates generally to anti-reflective coatings for optically transparent elements and more particularly to anti-reflective coatings for glass covers used in photovoltaic cell applications.
BACKGROUND
[0003] Anti-reflective (AR) coatings are used in several industries, including in the manufacture of photovoltaic (PV) modules, to reduce the reflection fraction of incident light as light passes through an optically transparent element such as glass. The goal of AR coatings is to achieve a refractive index that is as close to 1.23 as possible to maximize light transmission over a broad band of light wavelengths. [0004] One or more layers of a low refractive index coating can achieve improved transmittance in a broad wavelength range and a wide range of incident angles. Such coatings can be deposited (at atmospheric pressure or without vacuum) as sol- gel materials and can be highly cost-effective. These thin anti-reflective coatings, which may be formed from a silicon dioxide sol-gel applied to the glass cover by conventional coating techniques, have been reported to improve solar light transmittance by about two to three percent in the visible portion of the light spectrum. Such sol-gels have been formed using several mechanisms including via hydrolysis/condensation reaction of alkoxy silanes. See, e.g., G. Wu et al., "A novel route to control refractive index of sol-gel derived nanoporous films used as
broadband antireflective coatings," Materials Science and Engineering B78 (2000), pp. 135-139. However, AR coatings formed from silicon dioxide coatings would benefit from improved hardness, adhesion, shelf-life and/or processing efficiency.
SUMMARY
[0005] Embodiments disclosed herein pertain to AR coatings and coating solutions, optically sensitive elements such as photovoltaic modules that employ AR coatings, and improved processes for preparing AR coatings and coating solutions. [0006] A first example provides an optically transparent element comprising an optically transparent substrate; and an anti-reflective coating disposed on at least one surface of the optically transparent substrate, the anti-reflective coating comprising a polymer, the polymer comprising, at least one tetraalkoxy silane residue; and at least a second alkoxy silane residue selected from the group consisting of trialkoxy silanes, dialkoxy silanes, monoalkoxy silanes, and combinations thereof, wherein the polymer comprises at least 50 mole percent of the at least one tetraalkoxy silane residue based on the total moles of polymer and the coating comprises polymer particles having an average size of no more than 100nm. [0007] A second example provides the optically transparent element of claim 1 wherein the at least one alkoxy silane residue is tetraethoxy silane. A third example provides the optically transparent element of any of examples 1-2, wherein the second alkoxy silane residue is selected from the group consisting of methyltriethoxy silane and vinyltriethoxy silane. A fourth example provides the optically transparent element of any of examples 1-3, wherein the at least one tetraalkoxy silane residue is tetraethoxy silane and the second alkoxy silane is methyltriethoxy silane. [0008] A fifth example provides the optically transparent element of example 4, further comprising a vinyltriethoxy silane residue. A sixth example provides the optically transparent element of any of examples 1-5,wherein the polymer consists essentially of tetraethoxy silane residues and methyltriethoxy silane residues and has an average particle size of between 15 and 100 nm. A seventh example provides the optically transparent element of any of examples 1-6, wherein the polymer further comprises a metal alkoxide residue.
[0009] An eighth example provides the optically transparent element of any of examples 1-7, wherein the polymer further comprises a titanium isopropoxide residue. A ninth example provides the optically transparent element of any of examples 1-8, comprising at least about 20 mole percent of the second alkoxy silane residue. A tenth example provides the optically transparent element of any of examples 1-9, comprising between about 20 mole percent and 40 mole percent of the second alkoxy silane residue.
[0010] An eleventh example provides a photovoltaic module comprising at least one semiconductor layer; an optically transparent layer disposed over the at least one semiconductor layer; and an anti-reflective coating disposed on at least one surface of the optically transparent substrate, the anti-reflective coating comprising a polymer, the polymer comprising at least one tetraalkoxy silane residue; and at least a second alkoxy silane residue selected from the group consisting of trialkoxy silanes, dialkoxy silanes, monoalkoxy silanes, and combinations thereof, wherein the polymer comprises at least 50 mole percent of the at least one tetraalkoxy silane residue based on the total moles of polymer and the coating comprises polymer particles having an average size of no more than 100nm. [0011] A twelfth example provides the photovoltaic module of example 11 , wherein the at least one tetraalkoxy silane is tetraethoxy silane and the second alkoxy silane residue is selected from the group consisting of methyltriethoxy silane, vinyltriethoxy silane and combinations thereof.
[0012] A thirteenth example provides a method of producing a coating solution, comprising combining at least a first tetraalkoxy silane material, a second alkoxy silane material, a base catalyst and a solvent to form a coating, wherein the second alkoxy silane material is selected from the group consisting of trialkoxy silanes, dialkoxy silanes, monoalkoxy silanes and combinations thereof; maintaining the pH of the coating solution for a sufficient period of time at a sufficient temperature to form polymer particles having an average particle size between about 15 nm and about 100 nm; and reducing the pH of the coating solution to less than about 5.0. [0013] A fourteenth example provides the method of example thirteen wherein, the base catalyst comprises at least one quaternary ammonium.
[0014] A fifteenth example provides the method of any of examples 13-14, wherein the base catalyst comprises tetrabutylammonium hydroxide, tetramethylammonium hydroxide or a combination thereof. A sixteenth example provides the method of any of examples 13-15, wherein the coating solution is maintained at between about 35° C and about 70° C for between about 1 and about 5 hours prior to reducing the pH.
[0015] A seventeenth example provides the method of any of examples 13-16, wherein the solvent comprises water. An eighteenth example provides the method of any of examples 13-17, wherein the pH is reduced to no more than 2.0. A nineteenth example provides the method of any of examples 13-18, further comprising the step of storing the coating solution for at least about 24 hours. A twentieth example provides the method of any of examples 13-19, further comprising the step of freezing the coating solution. [0016] A twenty-first example provides a method of forming an optically transparent element comprising dispensing a coating solution onto an optically transparent substrate, the coating solution formed by: combining at least a first tetraalkoxy silane material, a second alkoxy silane material, a base catalyst and a solvent, wherein the second alkoxy silane material is selected from the group consisting of trialkoxy silanes, dialkoxy silanes, monoalkoxy silanes and combinations thereof; maintaining the pH of the coating solution for a sufficient period of time at a sufficient temperature to form polymer particles having an average particle size between about 15 nm and about 100 nm, and reducing the pH of the coating solution to less than about 5.0; and curing the dispensed coating solution to form an anti-reflective coating on the optically transparent substrate. [0017] A twenty-second example provides the method of example 21 , wherein the coating solution is dispensed by spraying. A twenty-third example provides the method of any of examples 21-22, wherein prior to dispensing, the coating solution is changed from a frozen state to a liquid state. A twenty-fourth example provides an optically transparent element formed by the method of any of examples 21-23. [0018] A twenty-fifth example provides an anti-reflective coating solution comprising a polymer comprising: at least one tetraalkoxy silane residue; and
at least a second alkoxy silane residue selected from the group consisting of trialkoxy silanes, dialkoxy silanes, monoalkoxy silanes, and combinations thereof, wherein the polymer comprises at least 50 mole percent of the at least one tetraalkoxy silane residue based on the total moles of polymer and the coating comprises polymer particles having an average size of no more than 100nm; a solvent, wherein the coating solution has a polymer particle concentration of up to about 25 wt% and a viscosity of no more than 2.0 cP, and wherein the coating solution has a viscosity of no more than 2.0 cP and a pH of no more than 5.0 for at least 24 hours at 25 ° C. [0019] A twenty-sixth example provides the anti-reflective coating solution of example 25 wherein the polymer consists essentially of tetraethoxy silane residues and methyltriethoxy silane residues.
BRIEF DESCRIPTION OF THE FIGURES
[0020] Figure 1 is a flow chart of a method of producing an optically transparent element including an AR coating in accordance with an embodiment of the invention. [0021] Figure 2 provides a schematic illustration of a photovoltaic cell including an AR coating in accordance with an embodiment of the invention. [0022] Figure 3 is a schematic illustration of a portion of a polymer molecule in accordance with one embodiment of the present invention.
DETAILED DESCRIPTION
[0023] Figure 1 is a flow chart illustrating a method 10 of forming an AR coating solution and optically transparent element according to one embodiment. According to the method 10, an AR coating solution is formed by combining at least two different alkoxy silane materials in a solvent and in the presence of a base catalyst under suitable reaction conditions to cause polymerization of the alkoxy silane materials (Block 20). The resulting AR coating solution includes a polymer having at least two different alkoxy silane residues. [0024] After combining the alkoxy silane materials, an acid may be added to the AR coating solution to adjust the solution pH (Block 30) and/or the AR coating
solution may be further combined with at least one additional solvent (Block 40). In one embodiment, exemplary AR coating solutions are formed without the use of poragens such as polyethylene glycols or polyethylene oxides that evaporate during thermal processing steps to form pores. Additionally, the AR coating solutions are formed without having to filter the resulting polymer from the reaction solution or to remove components in the solution as required by other reaction methods. [0025] The AR coating solution is dispensed onto an optically transparent substrate such as a glass substrate (e.g., sodalime glass, float glass, borosilicate and low iron sodalime glass), plastic cover, acrylic Fresnel lense or other optically transparent substrate (Block 50). The AR coating solution is then cured to form an AR coating on the optically transparent substrate (Block 60).
[0026] A variety of commercially available alkoxy silanes may be used to form the AR coating solution. Suitable alkoxy silanes that comprise the first of the at least two alkoxy silane materials include tetraalkoxy silanes, which may include one or more ethoxy, methoxy, and/or propoxy groups as well as hydrogen, methyl, ethyl or propyl groups. In one embodiment, at least one of the alkoxy silane materials is tetraethoxy silane (TEOS).
[0027] A second alkoxy silane material may be added to promote improved AR coating adhesion and/or other improved coating properties. Examples of these materials include trialkoxy silanes such as methyltriethoxy silane (MTEOS), aminopropyltriethoxy silane (APTEOS) and APTEOS-triflate, vinyltriethoxy silane (VTEOS), and diethylphosphatoethyltriethoxy silane. Examples also include dialkoxy silanes such as methyldiethoxy silane (MDEOS) dimethyldiethoxy silane (DMDEOS), and phenyldiethoxy silane (PDEOS). Suitable monoalkoxy silanes include trimethoxy silanes such as (3-glycidoxypropyl)-trimethoxy silane. Carbosilanes, mercapto silanes, hydridosilanes and silazanes such as dimethyldisilazane may also be suitable. Combinations of these second alkoxy silane materials may also be used. For example, MTEOS and/or VTEOS may be particularly suitable for improving adhesion and/or hardness. In one embodiment, the second alkoxy silane material or combination of materials may be combined with the first alkoxy silane material in an amount ranging from up to about 50 mol% to 40 mol% to 35 mol% to 25 mol% to 15 mol% based on the total moles of alkoxy silane material. In another
embodiment, the second alkoxy silane material may be added in an amount ranging from at least about 10 mol% to at least about 40 mol% based on the total moles of both alkoxy silane materials. The molar ratio of the first alkoxy silane to the second alkoxy silane material may range from 1 :1 to 1000:1 , more particularly from 10:1 to 500:1 and even more particularly from 25:1 to 100:1.
[0028] In addition to the alkoxy silane materials, at least one metal alkoxide may be included in the AR coating solution. Suitable metal alkoxides include metal isopropoxides and metal butoxides. Examples of metal isopropoxides include zirconium isopropoxide and titanium isopropoxide (TIPO). Examples of suitable metal butoxides include hafnium-n-butoxide and zirconium-n-butoxide. TIPO may be particularly suitable for improving AR coating hardness. In one embodiment, the AR coating solution includes less than 1 mol% metal alkoxide based on the total moles of metal alkoxide and alkoxy silane. [0029] Combinations of the foregoing materials may be utilized to achieve desirable coating properties. In one embodiment, the AR coating solution includes TEOS and MTEOS. In another embodiment, the AR coating solution includes TEOS, MTEOS, VTEOS. In a further embodiment, the AR coating solution includes TEOS, MTEOS, VTEOS and TIPO. [0030] Suitable base catalysts added to the AR coating solution include, for example, quaternary amine compounds of the formula RiR2RsR4N+OH" in which R1, R2, R3 and R4 are each independently phenyl, hydrogen or a C-i.-iβ alkyl. In some embodiments, suitable base catalysts include quaternary amine hydroxides such as tetrabutylammonium hydroxide and tetramethylammonium hydroxide. In some embodiments, suitable base catalysts include aqueous solutions of these components, and may optionally include additional distilled water beyond that found in the base catalyst aqueous solutions.
[0031] Examples of suitable solvents or diluents that may be used in the AR coating solution include but are not limited to acetone, water, propylene glycol methyl ether acetate (PGMEA), isopropyl alcohol (IPA), tetrahydrofuran (THF), ethanol, dipropylene glycol, tetraethylene glycol, ethyl acetate, PGME and combinations. In some embodiments, the solvent is free of acetone.
[0032] These components may be combined and reacted in, for example, a jacketed stirred tank reactor (STR) via a batch or semi-batch mode for a suitable reaction time in the range of about 1 to about 6 hours, more particularly 1 to 3.5 hours and at a suitable temperature in the range of about 35 °C to 70 °C. [0033] Under the foregoing conditions, a hydrolysis reaction takes place to form a polymer in solution. Depending on the reaction conditions, the polymer contained in the solution may vary from linear or randomly branched chains, to porous matrices, to dense colloidal particles. In any case, the resulting polymer will include residues of at least two different alkoxy silane materials as described above and/or the optional metal alkoxide materials. The term "residue" as used herein is intended to refer to a portion of the polymer molecule derived from the alkoxy silane and/or metal alkoxide materials initially added to the AR coating solution. By way of example, it is generally known that tetraethoxy silane reacts under the foregoing conditions to form units of SiO4, which would constitute one example of a tetraethoxy silane residue. It will also be appreciated that certain by-products may be formed and contained in the AR coating solution either as part of the polymer or as a separate component. For example, the hydrolysis of TEOS may result in the formation of ethanol as a byproduct. Fig. 3 illustrates a depiction of an exemplary polymer molecule portion with certain residues circled. [0034] In any case, the polymer includes at least two different alkoxy silane residues derived from the alkoxy silane materials discussed above. In one embodiment, the polymer includes at least one TEOS residue, at least one MTEOS residue or both. In another embodiment the polymer additionally includes at least one VTEOS residue. In a further embodiment, the polymer additionally includes at least one TIPO residue.
[0035] To further control the reaction conditions, the pH of the AR coating solution can be adjusted to between about O to about 4.0, more particularly, from about O to about 2.0 and even more particularly from about 0.5 to about 1.7 using an acid such as nitric acid after a suitable reaction time. This pH reduction may affect the polymerization condition, which in turn controls the polymer particle size contained in AR coating solution and subsequently cured coating. In one embodiment, the average particle size of the polymer in the AR coating solution may be less than 10
nm, more particularly, less than 1 nm. The average particle size of the AR coating after curing may be between about 15 and about 100 nm, more particularly, between about 25 and about 75 nm, and the polymer may have a molecular weight in the range of about 25,000 to about 150,000 Dalton. The AR coating may also be further diluted with a solvent that includes one or more of water, IPA, acetone and/or PGMEA. Additional acid may be added during dilution to maintain a desired pH. [0036] The AR coating solution may be dispensed onto a surface of an optically transparent element by a variety of generally known coating methods including spin- on, slot die, spray, dip, roller and other coating techniques. The amount of solvent included in the initial reaction or added to the AR coating solution may be varied such that the solids concentration of the AR coating solution ranges from about 1 to about 25 weight percent depending upon the dispensing method. In some embodiments, there may be manufacturing advantages to forming a more concentrated batch in the STR, followed by diluting to a desired concentration. In alternate embodiments, dilution could occur prior to or during the initial mixing stage. For dip coating, a solids concentration of about 10 to 20 weight percent is desired. For other coating methods such as spin, slot die and spray, a lower solids concentration of about 1 to 6 weight percent may be desired. Embodiments of the present invention may be particularly suitable for spray application due to the relatively small polymer particle size achievable by the manufacturing process described above. The viscosity of the resulting coating solution may vary from between about 0.75 cP to about 2.0 cP.
[0037] Unlike other methods of forming AR coating materials, the AR coating solution of the present invention is ready for use without removing the particles from solution. Additionally, the AR coating solutions formed by embodiments of the present invention may remain stable for an extended period of time. As used herein, stability refers to the optical and/or mechanical performance characteristics of the coating solution including, without limitation, light transmittance, viscosity, adhesion and/or pH. At room temperature, coating solutions of the present invention may remain stable for at least 24 hours, more particularly, about one week, even more particularly, about 4 weeks. Additionally, coating solutions of the present invention may be stored in a -20° C to -40° C freezer for up to at least six months without
materially impacting the optical or mechanical properties desired for glass coatings. The ability to preserve AR coatings for an extended period of time may provide a significant manufacturing advantage, particularly if the coating solution is transported to an off-site location and/or stored for a period of time prior to use. [0038] After application, the AR coating solution is cured onto the optically transparent substrate. When applied to glass substrates, the AR coating solution can be subjected to a high temperature heat tempering step, ranging from about 600°C to about 750°C depending on the glass composition, for between about 1 minute and about 1 hour to cure the coatings. It will be appreciated that the various alkoxysilane and/or metal alkoxide residues described above may be further modified during the curing process. However, these additional derivative residues still constitute alkoxysilane and/or metal alkoxide residues for the purposes of the present application. [0039] AR coated optically transparent elements according to embodiments of the present invention may possess improved light transmittance characteristics. For example, the AR coating may have a refractive index in the range of about 1.15 to about 1.3, resulting in up to about a 4.26 percent transmission gain in the visible portion (350 to 800 nanometers) of the light spectrum and/or up to about a 3 percent transmission gain in the infrared portion (800 to 2500 nanometers) of the light spectrum.
[0040] If both sides of an optically transparent substrate are coated, up to about an 8-9 percent transmission gain in the visible portion of the light spectrum and up to about a 5-7 percent transmission gain in the infrared portion of the light spectrum may be obtained. Exemplary data relating to these properties are presented in the Examples section set forth below. In some embodiments, the absolute gain in transmittance is independent of the coating methods used as long as the thickness of the AR film is tuned to the incident light wavelength (the AR film thickness is about 1/4th the wavelength of the incident light). [0041] As further demonstrated in the Examples, AR coatings of the present invention may also have improved adhesion and/or hardness compared to conventional sol gels. Additionally, AR coatings that include TIPO may have self- cleaning properties due to the generation of hydroxyl radicals in the presence of
water and solar UV light. The hydroxyl radicals may oxidize water insoluble organic dirt on the glass surface to form highly water-soluble compounds that are washed out during rain. The self-cleaning properties could be optimized according to the amount of TIPO added. In some embodiments, a TIPO content of about 0.0005 moles to about 0.003 moles is exemplary.
[0042] FIG. 2 is a cross-sectional view of a photovoltaic module (e.g., solar cell) for converting light to electricity, according to an embodiment of this invention. Incoming or incident light from the sun or the like is first incident on AR coating 1 , passes therethrough and then through glass substrate 2 and front transparent electrode 3 before reaching the photovoltaic semiconductor (active film) 4 of the module. The module may also include, but does not require, a reflection enhancement oxide and/or EVA film 5, and/or a back metallic contact and/or reflector 6 as shown in FIG. 2. Other types of photovoltaic devices may of course be used, and the FIG. 2 module is merely provided for purposes of example and understanding. It will also be understood that a module may include a single AR coated optically transparent substrate that covers multiple photovoltaic cells connected in series.
[0043] As explained above, the AR coating 1 reduces reflections of the incident light and permits more light to reach the thin film semiconductor film 4 of the photovoltaic module thereby permitting the device to act more efficiently. While certain of the AR coatings 1 discussed above are used in the context of the photovoltaic devices/modules, this invention is not so limited. AR coatings according to this invention may be used in other applications. Also, other layer(s) may be provided on the glass substrate under the AR coating so that the AR coating is considered disposed on the glass substrate even if other layers are provided therebetween.
EXAMPLES 1-16
[0044] In Examples 1-16, 122 grams of isopropanol and 62 grams of acetone were charged into a reactor. In Examples 1-10, 0.09 moles of tetraethoxy silane (TEOS) and 0.01 moles of methyltriethoxy silane (MTEOS) were added to the reactor while stirring with an agitator. In Examples 12-16, the molar ratio of TEOS to
MTEOS was varied. The total moles of all monomers were kept at a constant total of 0.1 moles to simplify kinetics measurements. Table 1 below sets forth the specific component amounts used for each Example.
[0045] Tetrabutylammonium hydroxide (TBAH) (0.002 moles of 40% aq. solution) or tetramethylammonium hydroxide (TMAH) (0.002 moles of 25% aq. solution) was added as a base catalyst and the reaction mixture was then heated to 35-70 C for 1- 3.5 h. The reaction mixture was then cooled and nitric acid was added to the reaction mixture in a semi-batch fashion to adjust the pH of the reaction mixture to 0.5-1.7. The reaction mixture was then cooled and diluted with IPA, Acetone and/or PGMEA. The molecular weight was measured by Gel permeation chromatography and it ranged from about 25,000-150,000 Dalton depending on reaction conditions. After dilution and pH control the polymer formulation was stored in a -20° C to -40° C freezer. [0046] After storing in a frozen state, these formulations were then deposited on glass or transparent substrates. Dip, slot, die, roller and spin coating techniques were attempted. In almost all cases the desired coating thicknesses of 125nm was obtained by curing at 200-700 C, more favorably at 600-750 C, in air or nitrogen after 3 minutes to 1 hour. A broadband spectroscopy tool available from n&k Technology, Inc. was used for coating thickness measurements. Average polymer particle size in the coating was determined to be between 10 and 100 nm by SEM analysis.
[0047] Transmittance data were measured by UV-Visible spectral measurement that measures wavelengths from 300-2500 nm. A broadband spectroscopy tool available from n&k Technology, Inc. was used for refractive index measurements. Tables 1 and 2 provide composition and performance data for Examples 1-16. [0048] A Pencil Hardness test was used as an indicator of scratch resistance/hardness and was performed by attempting to scratch the AR coating with pencils of various hardness (e.g., 3B, 4B, etc.). An Adhesion Tape Test was used as an indicator of coating adhesion and was performed by forming cross-hatches in the coating, pressing an adhesive-backed tape material to the coated substrate, pulling the tape away from the coating and then studying the effect the tape had on the cross-hatched portions of the coating. A Contact Angle Test was used to determine
the contact angle of the AR coated substrate using a VCA 2500 instrument available from AST Products, Inc. The results of these tests are shown in Table 2.
TABLE ONE
TABLE TWO
[0049] It was observed that about 0.0005 to about 0.003, or more particularly, about 0.002 mole of TBAH resulted in an optimized rate of reaction. Lower amounts of TBAH slowed the rate of reaction, whereas, higher amounts increased the reaction rate to gel formation level. [0050] It was determined that Examples 1-16 all had refractive indices near 1.23 and percent transmission gains (over uncoated substrates) of up to 4%. Pencil hardness results generally indicated an acceptable scratch resistance, with Example 16 having particularly high scratch resistance. Examples 3-5, 7-9 and 16 also exhibited acceptable to excellent coating adhesion using the Adhesion Tape Test. [0051] Example 1 1 was also modified to include 0.00015 mole of diethylphosphatoethyltriethoxy silane (while maintaining 1 mole total monomer), in the reaction solution. This improved hardness and adhesion, however, the refractive index of the coated film increased from 1.2 to 1.3. Certain examples were also treated with a 50-50 mixture of ethanol and water before curing in order to remove TBAN (tetrabutyl ammonium nitrate) that would otherwise be present on the film as a result of reaction between the TBAH and the nitric acid. It was found that this washing step further improved the hardness of the films. In some cases a hardness of 3H could be achieved by this treatment prior to the curing step. [0052] Example 16 was further subjected to several durability tests as set forth in Table 3 below to determine whether adhesion or transmittance was lost.
TABLE THREE
[0053] A "pass" indicated less than 0.02 loss of transmittance and no detectable chance in adhesion. As shown above, Example 16 passed all durability tests. [0054] Samples of Example 16 was further tested to confirm stability after approximately ten days at room temperature. As shown in Table Four below, coating solution samples were formed having varying molar concentrations of polymer material.
TABLE FOUR
[0055] The results shown in Table 4, indicate that the samples maintained a viscosity of less than 2.0 cP and a pH of about 3-4 after storage, which confirmed stability of the coating solution.
EXAMPLES 17-42
[0056] Tables 5-6 provide composition and performance data for Examples 17- 42, in which different types and amounts of one or more adhesion promoting materials were added. In Examples 17-40, 122 grams of isopropanol and 62 grams
of acetone were charged into a reactor. In Examples 41-42, 366 grams of isopropanol and 250 grams of acetone were charged in a reactor. [0057] In each example, tetraethoxy silane (TEOS) and/or methyltriethoxy silane (MTEOS) were added to the reactor while stirring with an agitator. At least one of VTEOS, DMDEOS, MDEOS and/or TIPO was also added.
[0058] In Examples 17-40, TBAH (0.002 moles of 40% aq. solution) was used as the base. In Examples 41 and 42, 0.006 moles of TBAH was added as a base catalyst, and additional water was also added. [0059] The reaction mixture was then heated to 35-70° C for 3.5 h. The reaction mixture was then cooled to 45 C and nitric acid was added to the reaction mixture in a semi-batch fashion to adjust the pH of the reaction mixture to 0.5-1.7. The reaction mixture was then cooled and diluted with IPA, Acetone, PGMEA, and/or water. In Examples 41 and 42, the reaction mixture was diluted with a combination of 14 weight percent PGMEA, 46.472 weight percent IPA, 37.38 weight percent acetone and 1.87 weight percent water.
[0060] After dilution and pH control the polymer formulation was stored in a -20 C to -40° C freezer. The molecular weight was measured by GPC and it was around 25,000-150,000 Dalton depending on reaction conditions. [0061] These formulations were then deposited on glass substrates by spin coating. In almost all cases the desired coating thicknesses of 125nm was obtained after cure at 200-700 C, more favorably at 600-750° C, in air or nitrogen after 3 minutes to 1 hour.
[0062] The same tests performed on Examples 1-16 were performed on Examples 17-40 and are set forth in Table 6. Examples 41 and 42 included slightly different tests, including average light transmission gain from 350 to 1200 nm and a Surface Roughness (RMS) Test, as set forth in Table 7, which was measured by scanning force microscopy. The Scratch Test was measured pursuant to EN-1096- 2.
TABLE FIVE
TABLE SEVEN
[0063] Examples 17-42 indicate that the addition of VTEOS, DMDEOS, MDEOS and TIPO improved certain physical characteristics of the AR coatings, including scratch resistance/hardness and/or adhesion. VTEOS, in particular, appeared to improve film hardness and uniformity.
[0064] It was also determined that the reactions described herein can be scaled up to 100 to 1000 liter batch sizes without difficulty or losses in the resulting optical and mechanical properties of the resulting film.
[0065] Various modifications and additions can be made to the exemplary embodiments discussed without departing from the scope of the present invention. For example, while the embodiments described above refer to particular features, the scope of this invention also includes embodiments having different combinations of features and embodiments that do not include all of the described features. Accordingly, the scope of the present invention is intended to embrace all such alternatives, modifications, and variations as fall within the scope of the claims, together with all equivalents thereof.
Claims
1. An optically transparent element comprising: an optically transparent substrate; and an anti-reflective coating disposed on at least one surface of the optically transparent substrate, the anti-reflective coating comprising a polymer, the polymer comprising: at least one tetraalkoxy silane residue; and at least a second alkoxy silane residue selected from the group consisting of trialkoxy silanes, dialkoxy silanes, monoalkoxy silanes, and combinations thereof, wherein the polymer comprises at least 50 mole percent of the at least one tetraalkoxy silane residue based on the total moles of polymer and the coating comprises polymer particles having an average size of no more than 100nm.
2. The optically transparent element of claim 1 wherein the at least one alkoxy silane residue is tetraethoxy silane.
3. The optically transparent element of any of claims 1-2 wherein the second alkoxy silane residue is selected from the group consisting of methyltriethoxy silane and vinyltriethoxy silane.
4. The optically transparent element of any of claims 1-3 wherein the at least one tetraalkoxy silane residue is tetraethoxy silane and the second alkoxy silane is methyltriethoxy silane.
5. The optically transparent element of claim 4 further comprising a vinyltriethoxy silane residue.
6. The optically transparent element of any of claims 1-5 wherein the polymer further comprises a metal alkoxide residue.
7. The optically transparent element of any of claims 1-6 comprising at least about 20 mole percent of the second alkoxy silane residue.
8. The optically transparent element of any of claims 1-7 further comprising at least one semiconductor layer disposed adjacent to the optically transparent element.
9. A method of forming an optically transparent element comprising: dispensing a coating solution onto an optically transparent substrate, the coating solution formed by: combining at least a first tetraalkoxy silane material, a second alkoxy silane material, a base catalyst and a solvent, wherein the second alkoxy silane material is selected from the group consisting of trialkoxy silanes, dialkoxy silanes, monoalkoxy silanes and combinations thereof; maintaining the pH of the coating solution for a sufficient period of time at a sufficient temperature to form polymer particles having an average particle size between about 15 nm and about 100 nm, and reducing the pH of the coating solution to less than about 5.0; and curing the dispensed coating solution to form an anti-reflective coating on the optically transparent substrate.
10. The method of claim 9, wherein the base catalyst comprises at least one quaternary ammonium.
1 1 . The method of any of claims 9-10, wherein the base catalyst comprises tetrabutylammonium hydroxide, tetramethylammonium hydroxide or a combination thereof.
12. The method of any of claims 9-1 1 wherein the coating solution is maintained at between about 35° C and about 70° C for between about 1 and about 5 hours prior to reducing the pH.
13. The method of any of claims 9-12 wherein the pH is reduced to no more than 2.0.
14. The method of any of claims 9-13 further comprising the step of storing the coating solution in a liquid or frozen state for at least about 24 hours prior to dispensing.
15. The method of any of claims 9-14 wherein the coating solution is dispensed by spraying.
Priority Applications (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
CN201080017276.0A CN102405533B (en) | 2009-06-10 | 2010-06-09 | For the ARC of optical transparent substrate |
EP10786741A EP2412035A4 (en) | 2009-06-10 | 2010-06-09 | Anti-reflective coatings for optically transparent substrates |
JP2012515094A JP2012529679A (en) | 2009-06-10 | 2010-06-09 | Anti-reflective coating for optically transparent substrates |
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US26823109P | 2009-06-10 | 2009-06-10 | |
US61/268,231 | 2009-06-10 | ||
US12/796,199 | 2010-06-08 | ||
US12/796,199 US8557877B2 (en) | 2009-06-10 | 2010-06-08 | Anti-reflective coatings for optically transparent substrates |
Publications (2)
Publication Number | Publication Date |
---|---|
WO2010144527A2 true WO2010144527A2 (en) | 2010-12-16 |
WO2010144527A3 WO2010144527A3 (en) | 2011-04-07 |
Family
ID=43305339
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
PCT/US2010/037898 WO2010144527A2 (en) | 2009-06-10 | 2010-06-09 | Anti-reflective coatings for optically transparent substrates |
Country Status (5)
Country | Link |
---|---|
US (2) | US8557877B2 (en) |
EP (1) | EP2412035A4 (en) |
JP (1) | JP2012529679A (en) |
CN (1) | CN102405533B (en) |
WO (1) | WO2010144527A2 (en) |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2014071394A (en) * | 2012-10-01 | 2014-04-21 | Hoya Corp | Method of manufacturing spectacle lens |
JP2015534652A (en) * | 2012-08-31 | 2015-12-03 | ハネウェル・インターナショナル・インコーポレーテッド | High durability anti-reflective coating |
Families Citing this family (28)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9353268B2 (en) | 2009-04-30 | 2016-05-31 | Enki Technology, Inc. | Anti-reflective and anti-soiling coatings for self-cleaning properties |
US9376593B2 (en) | 2009-04-30 | 2016-06-28 | Enki Technology, Inc. | Multi-layer coatings |
US8864898B2 (en) * | 2011-05-31 | 2014-10-21 | Honeywell International Inc. | Coating formulations for optical elements |
JP2013127602A (en) * | 2011-11-18 | 2013-06-27 | Canon Inc | Optical member, image pickup apparatus, method for manufacturing optical member, and method for manufacturing image pickup apparatus |
US11121267B2 (en) | 2013-03-01 | 2021-09-14 | Board Of Trustees Of The University Of Arkansas | Antireflective coating for glass applications and method of forming same |
US9359249B2 (en) * | 2013-05-29 | 2016-06-07 | Guardian Industries Corp. | Anti-corrosion anti-reflection glass and related methods |
DE102013107240A1 (en) * | 2013-07-09 | 2015-01-15 | Institut Für Verbundwerkstoffe Gmbh | Mixture for the production of nanoparticles of silicon dioxide, use of such a mixture, methods for the production of nanoparticles of silicon dioxide, use of nanoparticles made of silicon dioxide and nanoparticles made of silicon dioxide produced by the method |
US9598586B2 (en) | 2014-07-14 | 2017-03-21 | Enki Technology, Inc. | Coating materials and methods for enhanced reliability |
US9382449B2 (en) | 2014-09-19 | 2016-07-05 | Enki Technology, Inc. | Optical enhancing durable anti-reflective coating |
EP3172260B1 (en) * | 2014-07-14 | 2022-01-26 | First Solar, Inc | High gain durable anti-reflective coating |
US9399720B2 (en) | 2014-07-14 | 2016-07-26 | Enki Technology, Inc. | High gain durable anti-reflective coating |
WO2016064494A2 (en) * | 2014-09-17 | 2016-04-28 | Enki Technology, Inc. | Multi-layer coatings |
US20170355826A1 (en) * | 2014-12-01 | 2017-12-14 | Honeywell International Inc. | Carbosilane polymers |
US10099247B2 (en) * | 2015-07-14 | 2018-10-16 | Honeywell International Inc. | Anti-reflective coating for sapphire |
US20170125241A1 (en) * | 2015-10-30 | 2017-05-04 | Applied Materials, Inc. | Low temp single precursor arc hard mask for multilayer patterning application |
CN105607158B (en) * | 2016-01-04 | 2018-07-06 | 重庆京东方光电科技有限公司 | A kind of substrate, manufacture of substrates, touch screen and display device |
CN106206759B (en) * | 2016-08-31 | 2019-04-16 | 天津蓝天太阳科技有限公司 | A kind of preparation method of solar cell high transmittance antireflective coating |
CN106941126B (en) * | 2017-05-05 | 2018-07-13 | 孝感双华应用科技开发有限公司 | A kind of preparation method of high-performance antireflective coating |
JP6782211B2 (en) * | 2017-09-08 | 2020-11-11 | 株式会社東芝 | Transparent electrodes, devices using them, and methods for manufacturing devices |
WO2019065772A1 (en) * | 2017-09-29 | 2019-04-04 | 富士フイルム株式会社 | Coating composition, layered product, solar cell module and method for producing layered product |
CN111867673A (en) * | 2018-03-14 | 2020-10-30 | 美敦力公司 | RF power transfer coil for implanted VAD pump |
US11448797B1 (en) | 2018-11-29 | 2022-09-20 | Quantum Innovations, Inc. | Viewing lens and method for treating lenses to minimize glare and reflections for birds with tetra-chromatic vision |
US11353630B2 (en) | 2019-03-18 | 2022-06-07 | Quantum Innovations, Inc. | Method for treating a lens to reduce light reflections for animals and devices that view through the ultra violet light spectrum |
KR20200114438A (en) | 2019-03-28 | 2020-10-07 | 삼성전자주식회사 | Polymer and composition for forming anti-reflective film, and method of manufacturing integrated circuit device using anti-reflective film |
LU102920B1 (en) | 2022-03-31 | 2023-10-02 | Fusion Bionic Gmbh | Device and method for laser interference structuring of substrates with periodic dot structures for anti-reflection properties |
WO2023280793A2 (en) | 2021-07-03 | 2023-01-12 | Fusion Bionic Gmbh | Apparatus and method for the laser-interference patterning of substrates with periodic dot patterns for antireflective properties |
DE102021117204A1 (en) | 2021-07-03 | 2023-01-05 | Fusion Bionic Gmbh | Device and method for laser interference structuring of transparent substrates with periodic point structures for anti-reflection properties |
WO2023059880A1 (en) * | 2021-10-07 | 2023-04-13 | Corning Incorporated | Oxide coatings with adjustable ion-permeation as optical and protective coatings and methods of making the same |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
GB2424382A (en) | 2005-02-25 | 2006-09-27 | Asahi Chemical Ind | Antireflective coatings |
WO2006122308A2 (en) | 2005-05-11 | 2006-11-16 | Yazaki Corporation | Antireflective coating compositions and methods for depositing such coatings |
DE102006046726A1 (en) | 2006-10-02 | 2008-04-03 | Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. | Silicon-based solar cell comprises front-end contacts that are placed on a front-end doped surface layer and a passivation layer with backside contacts that is placed on the backside doped layer |
US20080295884A1 (en) | 2007-05-29 | 2008-12-04 | Sharma Pramod K | Method of making a photovoltaic device or front substrate with barrier layer for use in same and resulting product |
Family Cites Families (831)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US31987A (en) | 1861-04-09 | Machinist s instrument foe determining geometrical lines | ||
US35239A (en) | 1862-05-13 | Improved mode of securing chimneys to lamps | ||
US58929A (en) | 1866-10-16 | Improved keeper for bolts | ||
US35368A (en) | 1862-05-27 | Improvement in shutter-fastenings | ||
US172896A (en) | 1876-02-01 | Improvement in hydraulic elevators | ||
US106376A (en) | 1870-08-16 | Improvement in harrow and cultivator | ||
US35447A (en) | 1862-06-03 | Coal oil lamp chimney | ||
US677386A (en) | 1900-08-10 | 1901-07-02 | Chief Husker And Shredder Company | Corn husker and shredder. |
DE1017883B (en) * | 1954-07-08 | 1957-10-17 | Fellows Gear Shaper Co | Switching and feed device for gear manufacturing machines |
US2783263A (en) | 1954-11-22 | 1957-02-26 | Dow Corning | Halocarboxysilanes |
US3294737A (en) | 1963-12-23 | 1966-12-27 | Gen Electric | Organopolysiloxanes |
US5270285A (en) | 1965-02-28 | 1993-12-14 | Dai Nippon Insatsu Kabushiki Kaisha | Sheet for heat transference |
US3615272A (en) | 1968-11-04 | 1971-10-26 | Dow Corning | Condensed soluble hydrogensilsesquioxane resin |
US3635529A (en) | 1969-06-24 | 1972-01-18 | Walter R Nass | Motor vehicle wheel assembly |
CA993709A (en) | 1971-01-21 | 1976-07-27 | Leo Roos | Composite, mask-forming photohardenable elements |
US3784378A (en) | 1971-10-18 | 1974-01-08 | Du Pont | Double-exposure method for producing reverse images in photopolymers |
US3884702A (en) | 1972-12-14 | 1975-05-20 | Unitika Ltd | Photosensitive polyamide composition |
US3929489A (en) | 1973-09-14 | 1975-12-30 | Eastman Kodak Co | Lithographic plates having radiation sensitive elements developable with aqueous alcohol |
US4043812A (en) | 1973-11-19 | 1977-08-23 | Xerox Corporation | Electrostatographic imaging member and process using anthracene functional polymers |
US3873361A (en) | 1973-11-29 | 1975-03-25 | Ibm | Method of depositing thin film utilizing a lift-off mask |
US3925077A (en) | 1974-03-01 | 1975-12-09 | Horizons Inc | Photoresist for holography and laser recording with bleachout dyes |
US4191571A (en) | 1974-04-26 | 1980-03-04 | Hitachi, Ltd. | Method of pattern forming in a photosensitive composition having a reciprocity law failing property |
US4018606A (en) | 1974-05-03 | 1977-04-19 | Eastman Kodak Company | Organic azo pigment sensitizers for photoconductive layers |
US4018607A (en) | 1974-05-03 | 1977-04-19 | Eastman Kodak Company | Crystalline organic pigment sensitizers for photoconductive layers |
US4053313A (en) | 1975-06-03 | 1977-10-11 | E. I. Du Pont De Nemours And Company | Process for image reproduction using multilayer photosensitive solvent processable elements |
US4048146A (en) | 1975-10-14 | 1977-09-13 | Eastman Kodak Company | Radiation sensitive polymers of oxygen-substituted maleimides and elements containing same |
US4052367A (en) | 1975-10-14 | 1977-10-04 | Eastman Kodak Company | Radiation sensitive polymers of oxygen-substituted maleimides and elements containing same |
US4019884A (en) * | 1976-01-22 | 1977-04-26 | Corning Glass Works | Method for providing porous broad-band antireflective surface layers on chemically-durable borosilicate glasses |
US4102683A (en) | 1977-02-10 | 1978-07-25 | Rca Corp. | Nonreflecting photoresist process |
US4618213A (en) | 1977-03-17 | 1986-10-21 | Applied Elastomerics, Incorporated | Gelatinous elastomeric optical lens, light pipe, comprising a specific block copolymer and an oil plasticizer |
US5624294A (en) | 1977-03-17 | 1997-04-29 | Applied Elastomerics, Inc. | Humdinger, gel spinner |
US5324222A (en) | 1977-03-17 | 1994-06-28 | Applied Elastomerics, Inc. | Ultra-soft, ultra-elastic airfoils |
US5153254A (en) | 1977-03-17 | 1992-10-06 | Applied Elastomerics, Inc. | Reusable lint remover |
US5262468A (en) | 1977-03-17 | 1993-11-16 | Applied Elastomerics, Inc. | Thermoplastic elastomer gelatinous compositions |
US5655947A (en) | 1977-03-17 | 1997-08-12 | Applied Elastomerics, Inc. | Ultra-soft, ultra-elastic gel airfoils |
US5336708A (en) | 1977-03-17 | 1994-08-09 | Applied Elastomerics, Inc. | Gelatinous elastomer articles |
US5508334A (en) | 1977-03-17 | 1996-04-16 | Applied Elastomerics, Inc. | Thermoplastic elastomer gelatinous compositions and articles |
US5633286B1 (en) | 1977-03-17 | 2000-10-10 | Applied Elastomerics Inc | Gelatinous elastomer articles |
US5475890A (en) | 1977-03-17 | 1995-12-19 | Applied Elastomerics, Inc. | Gelatinous elastomer swabs |
US5239723A (en) | 1977-03-17 | 1993-08-31 | Applied Elastomerics, Inc. | Gelatinous elastomer swabs |
US5334646B1 (en) | 1977-03-17 | 1998-09-08 | Applied Elastomerics Inc | Thermoplastic elastomer gelatinous articles |
US4369284A (en) | 1977-03-17 | 1983-01-18 | Applied Elastomerics, Incorporated | Thermoplastic elastomer gelatinous compositions |
DE2720559A1 (en) | 1977-05-07 | 1978-11-09 | Basf Ag | IMPROVED PHOTOPOLYMERIZABLE COMPOSITIONS FOR THE MANUFACTURE OF PRINT PLATES AND RELIEF SHAPES |
JPS6058467B2 (en) | 1977-10-22 | 1985-12-20 | 株式会社リコー | Electrophotographic photoreceptor |
US4413088A (en) | 1978-11-30 | 1983-11-01 | General Electric Co. | Silicone resin coating composition especially suited for primerless adhesion to cast acrylics |
JPS55165922A (en) | 1979-06-14 | 1980-12-24 | Daicel Chem Ind Ltd | Production of thermosetting organopolysiloxane |
US4299938A (en) | 1979-06-19 | 1981-11-10 | Ciba-Geigy Corporation | Photopolymerizable and thermally polymerizable compositions |
US4349609A (en) | 1979-06-21 | 1982-09-14 | Fujitsu Limited | Electronic device having multilayer wiring structure |
JPS5850417B2 (en) | 1979-07-31 | 1983-11-10 | 富士通株式会社 | Manufacturing method of semiconductor device |
US4257826A (en) | 1979-10-11 | 1981-03-24 | Texas Instruments Incorporated | Photoresist masking in manufacture of semiconductor device |
US4290896A (en) | 1980-05-27 | 1981-09-22 | Dow Corning Corporation | Dewatering fine coal slurries using organopolysiloxanes |
US4935583A (en) | 1980-05-30 | 1990-06-19 | Kyle James C | Insulated conductor with ceramic-connected elements |
US4483107A (en) | 1980-06-17 | 1984-11-20 | Konishiroku Photo Industry Co., Ltd. | Polishing method for electrophotographic photoconductive member |
DE3173441D1 (en) | 1980-08-26 | 1986-02-20 | Japan Synthetic Rubber Co Ltd | Ladder-like lower alkylpolysilsesquioxanes and process for their preparation |
JPS5760330A (en) | 1980-09-27 | 1982-04-12 | Fujitsu Ltd | Resin composition |
DE3048369C2 (en) * | 1980-12-22 | 1983-01-05 | Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V., 8000 München | Abrasive agents and their use |
US4399255A (en) | 1980-12-22 | 1983-08-16 | Avtex Fibers Inc. | Alloy rayon fibers of regenerated cellulose and copolymeric acrylic acid salts |
US4515828A (en) | 1981-01-02 | 1985-05-07 | International Business Machines Corporation | Planarization method |
US4423135A (en) | 1981-01-28 | 1983-12-27 | E. I. Du Pont De Nemours & Co. | Preparation of photosensitive block copolymer elements |
US4413052A (en) | 1981-02-04 | 1983-11-01 | Ciba-Geigy Corporation | Photopolymerization process employing compounds containing acryloyl group and anthryl group |
US4419437A (en) | 1981-02-11 | 1983-12-06 | Eastman Kodak Company | Image-forming compositions and elements containing ionic polyester dispersing agents |
US4312970A (en) | 1981-02-20 | 1982-01-26 | Dow Corning Corporation | Silazane polymers from {R'3 Si}2 NH and organochlorosilanes |
US4362809A (en) | 1981-03-30 | 1982-12-07 | Hewlett-Packard Company | Multilayer photoresist process utilizing an absorbant dye |
US4348471A (en) | 1981-06-15 | 1982-09-07 | Polychrome Corporation | Positive acting composition yielding pre-development high visibility image after radiation exposure comprising acid free novolak, diazo oxide and acid sensitive dyestuff |
EP0076656B1 (en) | 1981-10-03 | 1988-06-01 | Japan Synthetic Rubber Co., Ltd. | Solvent-soluble organopolysilsesquioxanes, processes for producing the same, and compositions and semiconductor devices using the same |
US4783347A (en) | 1981-12-01 | 1988-11-08 | General Electric Company | Method for primerless coating of plastics |
US4442197A (en) | 1982-01-11 | 1984-04-10 | General Electric Company | Photocurable compositions |
JPS58171416A (en) | 1982-04-02 | 1983-10-08 | Hitachi Ltd | Heat-resistant polymer |
US5670295A (en) | 1982-07-30 | 1997-09-23 | Namba; Kenryo | Optical recording medium |
DE3231147A1 (en) | 1982-08-21 | 1984-02-23 | Basf Ag, 6700 Ludwigshafen | POSITIVELY WORKING METHOD FOR PRODUCING RELIEF IMAGES OR RESIST PATTERNS |
US6194121B1 (en) | 1982-09-25 | 2001-02-27 | Tdk Corp. | Optical recording medium |
US4910122A (en) | 1982-09-30 | 1990-03-20 | Brewer Science, Inc. | Anti-reflective coating |
JPH0612452B2 (en) | 1982-09-30 | 1994-02-16 | ブリュ−ワ−・サイエンス・インコ−ポレイテッド | Method of manufacturing integrated circuit device |
US4822718A (en) | 1982-09-30 | 1989-04-18 | Brewer Science, Inc. | Light absorbing coating |
US4434127A (en) | 1982-12-09 | 1984-02-28 | Dow Corning Corporation | Heat curable polydiorganosiloxane compositions having enhanced release upon cure |
JPS59109565A (en) | 1982-12-16 | 1984-06-25 | Fujitsu Ltd | Coating resin solution and production thereof |
CA1255952A (en) | 1983-03-04 | 1989-06-20 | Akihiro Furuta | Positive type photoresist composition |
JPS59226346A (en) | 1983-06-07 | 1984-12-19 | Fuotopori Ouka Kk | Formation of photoresist |
US4535026A (en) | 1983-06-29 | 1985-08-13 | The United States Of America As Represented By The United States Department Of Energy | Antireflective graded index silica coating, method for making |
KR890003903B1 (en) | 1983-06-29 | 1989-10-10 | 가부시끼가이샤 히다찌세이사꾸쇼 | Pattern forming method |
US4430153A (en) | 1983-06-30 | 1984-02-07 | International Business Machines Corporation | Method of forming an RIE etch barrier by in situ conversion of a silicon containing alkyl polyamide/polyimide |
US4617252A (en) | 1983-07-01 | 1986-10-14 | Philip A. Hunt Chemical Corporation | Antireflective coatings for use in the manufacture of semi-conductor devices, methods and solutions for making such coatings, and the method for using such coatings to absorb light in ultraviolet photolithography processes |
DE3324795A1 (en) | 1983-07-09 | 1985-01-17 | Merck Patent Gmbh, 6100 Darmstadt | NEGATIVE WORKING PHOTO RESIST COMPOSITIONS WITH RADIATION-ABSORBING ADDITIVES |
DE3344202A1 (en) | 1983-12-07 | 1985-06-20 | Merck Patent Gmbh, 6100 Darmstadt | POSITIVE PHOTO RESIST COMPOSITIONS |
GB8333901D0 (en) | 1983-12-20 | 1984-02-01 | Minnesota Mining & Mfg | Radiationsensitive compositions |
DE3561155D1 (en) | 1984-02-10 | 1988-01-21 | Ciba-Geigy Ag | |
DE3565013D1 (en) | 1984-02-10 | 1988-10-20 | Ciba Geigy Ag | Process for the preparation of a protection layer or a relief pattern |
JPS60177029A (en) | 1984-02-21 | 1985-09-11 | Toray Silicone Co Ltd | Method for curing organopolysiloxane composition |
US4831188A (en) | 1984-02-29 | 1989-05-16 | Bowling Green State University | Perester photoinitiators |
US4752649A (en) | 1984-02-29 | 1988-06-21 | Bowling Green State University | Perester photoinitiators |
US4702990A (en) | 1984-05-14 | 1987-10-27 | Nippon Telegraph And Telephone Corporation | Photosensitive resin composition and process for forming photo-resist pattern using the same |
EP0163538B1 (en) | 1984-05-30 | 1989-11-23 | Fujitsu Limited | Pattern-forming material and its production and use |
GB8414867D0 (en) | 1984-06-11 | 1984-07-18 | Minnesota Mining & Mfg | Pre-press proofing system |
US4767571A (en) | 1984-06-27 | 1988-08-30 | Fuji Photo Film Co., Ltd. | Infrared absorbent |
US4705739A (en) | 1984-07-16 | 1987-11-10 | Minnesota Mining And Manufacturing Company | Graphic arts imaging constructions using vapor-deposited colorant and metalloid layers with overlying photosensitive resist layer |
US4763966A (en) | 1984-07-16 | 1988-08-16 | Fuji Photo Film Co., Ltd. | Infrared absorbent |
US5674648A (en) | 1984-08-06 | 1997-10-07 | Brewer Science, Inc. | Anti-reflective coating |
US4657965A (en) | 1984-10-22 | 1987-04-14 | Toshiba Silicone Co., Ltd. | Silicone elastomer composition |
US4594309A (en) | 1984-10-31 | 1986-06-10 | Allied Corporation | α,β Diketone containing polymers as positive photoresist compositions |
US4670299A (en) | 1984-11-01 | 1987-06-02 | Fujitsu Limited | Preparation of lower alkyl polysilsesquioxane and formation of insulating layer of silylated polymer on electronic circuit board |
US4587138A (en) | 1984-11-09 | 1986-05-06 | Intel Corporation | MOS rear end processing |
US4620986A (en) | 1984-11-09 | 1986-11-04 | Intel Corporation | MOS rear end processing |
US4705729A (en) | 1984-11-19 | 1987-11-10 | Hewlett-Packard Company | Method for photochemically enhancing resolution in photolithography processes |
IT1177373B (en) | 1984-12-06 | 1987-08-26 | Bioresearch Spa | SALTS OF 5'-METHYLLIUM-5'-DEOXYDENOSINE WITH LONG ALCHYLIC CHAIN SULPHONIC ACIDS |
US4708925A (en) | 1984-12-11 | 1987-11-24 | Minnesota Mining And Manufacturing Company | Photosolubilizable compositions containing novolac phenolic resin |
KR900005404B1 (en) | 1985-03-07 | 1990-07-28 | 휴우즈 에어크라프트 캄파니 | Polysiloxane resist for ion beam and electron beam lithography |
FR2579552B1 (en) | 1985-03-27 | 1990-06-08 | Honda Motor Co Ltd | FRONT AND REAR WHEEL STEERING DEVICE FOR VEHICLE |
EP0204963B1 (en) | 1985-05-10 | 1993-01-13 | Hitachi, Ltd. | Use of Alkali-Soluble Polyorganosilsesquioxane Polymers in a resist for preparing electronics parts. |
US4745169A (en) | 1985-05-10 | 1988-05-17 | Hitachi, Ltd. | Alkali-soluble siloxane polymer, silmethylene polymer, and polyorganosilsesquioxane polymer |
US4663414A (en) | 1985-05-14 | 1987-05-05 | Stauffer Chemical Company | Phospho-boro-silanol interlayer dielectric films and preparation |
JPS6289907A (en) | 1985-06-19 | 1987-04-24 | Sumitomo Bakelite Co Ltd | Transparent conductive film united with polarizing film |
US4595599A (en) | 1985-06-21 | 1986-06-17 | Advanced Chemical Technologies Company | Luminescent silane |
US4814578A (en) | 1985-06-24 | 1989-03-21 | The United States Of America As Represented By The Department Of Energy | Planarization of metal films for multilevel interconnects |
US4681795A (en) | 1985-06-24 | 1987-07-21 | The United States Of America As Represented By The Department Of Energy | Planarization of metal films for multilevel interconnects |
US4674176A (en) | 1985-06-24 | 1987-06-23 | The United States Of America As Represented By The United States Department Of Energy | Planarization of metal films for multilevel interconnects by pulsed laser heating |
US4609614A (en) | 1985-06-24 | 1986-09-02 | Rca Corporation | Process of using absorptive layer in optical lithography with overlying photoresist layer to form relief pattern on substrate |
US4816049A (en) | 1985-07-12 | 1989-03-28 | Hoya Corporation | Process of surface treating laser glass |
JPS6243424A (en) | 1985-08-20 | 1987-02-25 | Shin Etsu Chem Co Ltd | Production of silsesquioxane emulsion |
US5034189A (en) | 1985-08-27 | 1991-07-23 | The Regents Of The University Of California | Fluorescent probe for rapid measurement of analyte concentration |
DE3684745D1 (en) | 1985-08-29 | 1992-05-14 | Du Pont | PHOTOPOLYMERIZABLE COMPOSITION WITH DIZYCLOPENTYL ACRYLATE OR METHACRYLATE CONTAINING ACRYLCOPOLYMERS. |
US4786569A (en) | 1985-09-04 | 1988-11-22 | Ciba-Geigy Corporation | Adhesively bonded photostructurable polyimide film |
US4923638A (en) | 1985-09-30 | 1990-05-08 | Fuji Photo Film Co., Ltd. | Near infrared absorbing composition |
US4723978A (en) | 1985-10-31 | 1988-02-09 | International Business Machines Corporation | Method for a plasma-treated polysiloxane coating |
EP0225676B1 (en) | 1985-12-09 | 1994-07-06 | Nippon Paint Co., Ltd. | Photosensitive resin base printing material |
JP2619358B2 (en) | 1986-01-08 | 1997-06-11 | 株式会社日立製作所 | Photosensitive resin composition |
US4678835A (en) | 1986-01-30 | 1987-07-07 | Ppg Industries, Inc. | Coating composition containing an ungelled reaction product as a curative |
US4693959A (en) | 1986-03-07 | 1987-09-15 | E.I. Du Pont De Nemours And Company | Adhesion promotion in photoresist lamination and processing |
US4676867A (en) | 1986-06-06 | 1987-06-30 | Rockwell International Corporation | Planarization process for double metal MOS using spin-on glass as a sacrificial layer |
DE3760773D1 (en) | 1986-07-25 | 1989-11-16 | Oki Electric Ind Co Ltd | Negative resist material, method for its manufacture and method for using it |
JPS63139303A (en) | 1986-08-05 | 1988-06-11 | Fuji Photo Film Co Ltd | Infrared rays absorptive composition |
US4806504A (en) | 1986-09-11 | 1989-02-21 | Fairchild Semiconductor Corporation | Planarization method |
US4950583A (en) | 1986-09-17 | 1990-08-21 | Brewer Science Inc. | Adhesion promoting product and process for treating an integrated circuit substrate therewith |
US4732858A (en) | 1986-09-17 | 1988-03-22 | Brewer Science, Inc. | Adhesion promoting product and process for treating an integrated circuit substrate |
US4731264A (en) | 1986-10-03 | 1988-03-15 | Ppg Industries, Inc. | Sol-gel compositions containing silane and alumina |
US4863827A (en) | 1986-10-20 | 1989-09-05 | American Hoechst Corporation | Postive working multi-level photoresist |
US6033283A (en) | 1986-10-21 | 2000-03-07 | Applied Elastomerics, Inc. | Humdinger, string spinning toy |
DE3635821A1 (en) | 1986-10-22 | 1988-04-28 | Bayer Ag | MICROCAPSULES WITH IMPROVED WALLS |
US4822697A (en) | 1986-12-03 | 1989-04-18 | Dow Corning Corporation | Platinum and rhodium catalysis of low temperature formation multilayer ceramics |
US4756977A (en) | 1986-12-03 | 1988-07-12 | Dow Corning Corporation | Multilayer ceramics from hydrogen silsesquioxane |
US4898907A (en) | 1986-12-03 | 1990-02-06 | Dow Corning Corporation | Compositions of platinum and rhodium catalyst in combination with hydrogen silsesquioxane resin |
US4911992A (en) | 1986-12-04 | 1990-03-27 | Dow Corning Corporation | Platinum or rhodium catalyzed multilayer ceramic coatings from hydrogen silsesquioxane resin and metal oxides |
US5008320A (en) | 1986-12-04 | 1991-04-16 | Dow Corning Corporation | Platinum or rhodium catalyzed multilayer ceramic coatings from hydrogen silsesquioxane resin and metal oxides |
US4753855A (en) | 1986-12-04 | 1988-06-28 | Dow Corning Corporation | Multilayer ceramic coatings from metal oxides for protection of electronic devices |
US4808653A (en) | 1986-12-04 | 1989-02-28 | Dow Corning Corporation | Coating composition containing hydrogen silsesquioxane resin and other metal oxide precursors |
US4746693A (en) | 1986-12-12 | 1988-05-24 | Rca Corporation | Polyalkylsilsesquioxane coating composition |
JPH0819381B2 (en) | 1987-01-06 | 1996-02-28 | 日本合成ゴム株式会社 | Coating composition |
US5328976A (en) | 1987-01-09 | 1994-07-12 | Allied-Signal Inc. | Carbon-containing black glass monoliths |
US5077085A (en) | 1987-03-06 | 1991-12-31 | Schnur Joel M | High resolution metal patterning of ultra-thin films on solid substrates |
US5389496A (en) | 1987-03-06 | 1995-02-14 | Rohm And Haas Company | Processes and compositions for electroless metallization |
US5079600A (en) | 1987-03-06 | 1992-01-07 | Schnur Joel M | High resolution patterning on solid substrates |
DE3810247A1 (en) | 1987-03-26 | 1988-10-06 | Toshiba Kawasaki Kk | LIGHT SENSITIVE COATING |
US4855199A (en) | 1987-04-03 | 1989-08-08 | General Electric Company | Photopatterned product of silicone polyamic acid on a transparent substrate |
US4782009A (en) | 1987-04-03 | 1988-11-01 | General Electric Company | Method of coating and imaging photopatternable silicone polyamic acid |
DE3719844A1 (en) | 1987-06-13 | 1988-12-29 | Basf Ag | CROSSLINKABLE MIXTURE BY PHOTOPOLYMERSISATION |
US4839274A (en) | 1987-06-30 | 1989-06-13 | Eastman Kodak Company | Novel polymethine dyes and UV absorbers containing a triarylborylisocyano group and imaging compositions containing these dyes |
EP0301641A1 (en) | 1987-07-23 | 1989-02-01 | Koninklijke Philips Electronics N.V. | Master disc and method of manufacturing a matrix |
US4973510A (en) | 1987-09-02 | 1990-11-27 | Teijin Limited | Coated sheet material and process for producing same |
US5024923A (en) | 1987-09-09 | 1991-06-18 | Fuji Photo Film Co., Ltd. | Infrared absorbent compositions |
US4962996A (en) | 1987-09-11 | 1990-10-16 | Raychem Corporation | Protected fiber optic waveguide |
DE3735852A1 (en) | 1987-10-23 | 1989-05-03 | Hoechst Ag | POSITIVELY WORKING LIGHT-SENSITIVE MIXTURE, CONTAINING A COLOR, AND POSITIVELY WORKING LIGHT-SENSITIVE RECORDING MATERIAL THEREOF |
DE3789511T2 (en) | 1987-10-24 | 1994-08-18 | Ito Optical Ind Co Ltd | SOLUTION APPLICATION METHOD FOR REFLEX PREVENTION ON OPTICAL PARTS AND METHOD USING SUCH A SOLUTION. |
US4925772A (en) | 1987-11-26 | 1990-05-15 | Siemens Aktiengesellschaft | Anti-radiation covering for electronic components |
US5272026A (en) | 1987-12-18 | 1993-12-21 | Ucb S.A. | Negative image process utilizing photosensitive compositions containing aromatic fused polycyclic sulfonic acid and partial ester or phenolic resin with diazoquinone sulfonic acid or diazoquinone carboxylic acid, and associated imaged article |
GB8729510D0 (en) | 1987-12-18 | 1988-02-03 | Ucb Sa | Photosensitive compositions containing phenolic resins & diazoquinone compounds |
US4849296A (en) | 1987-12-28 | 1989-07-18 | Dow Corning Corporation | Multilayer ceramic coatings from metal oxides and hydrogen silsesquioxane resin ceramified in ammonia |
US4847162A (en) | 1987-12-28 | 1989-07-11 | Dow Corning Corporation | Multilayer ceramics coatings from the ceramification of hydrogen silsequioxane resin in the presence of ammonia |
JPH01185367A (en) | 1988-01-18 | 1989-07-24 | Toshiba Silicone Co Ltd | Surface-treated polymethylsilsesquioxane powder |
US4926383A (en) | 1988-02-02 | 1990-05-15 | National Semiconductor Corporation | BiCMOS write-recovery circuit |
EP0327311B1 (en) | 1988-02-02 | 1994-09-14 | Hitachi Chemical Co., Ltd. | A coating fluid for forming an oxide coating |
US6040251A (en) | 1988-03-14 | 2000-03-21 | Nextec Applications Inc. | Garments of barrier webs |
US5194364A (en) | 1988-03-16 | 1993-03-16 | Fujitsu Limited | Process for formation of resist patterns |
US5391463A (en) | 1988-04-14 | 1995-02-21 | The United States Of America As Represented By The Secretary Of The Navy | Surface modification to create regions resistant to adsorption of biomolecules |
US4942083A (en) | 1988-05-16 | 1990-07-17 | Smith Novis W Jr | Abrasion resistant coatings |
EP0345219B1 (en) | 1988-05-31 | 1994-02-02 | Ciba-Geigy Ag | Aqueous dispersions of 2-(2'-hydroxyphenyl) benzotriazoles |
US4921778A (en) | 1988-07-29 | 1990-05-01 | Shipley Company Inc. | Photoresist pattern fabrication employing chemically amplified metalized material |
US4943511A (en) | 1988-08-05 | 1990-07-24 | Morton Thiokol, Inc. | High sensitivity mid and deep UV resist |
US5403680A (en) | 1988-08-30 | 1995-04-04 | Osaka Gas Company, Ltd. | Photolithographic and electron beam lithographic fabrication of micron and submicron three-dimensional arrays of electronically conductive polymers |
US5173368A (en) | 1988-09-14 | 1992-12-22 | Pilkington Visioncare Holdings, Inc. | Solution-applied antireflective coatings |
US4954414A (en) | 1988-11-08 | 1990-09-04 | The Mead Corporation | Photosensitive composition containing a transition metal coordination complex cation and a borate anion and photosensitive materials employing the same |
US5199979A (en) | 1988-11-25 | 1993-04-06 | Ppg Industries, Inc. | UV resistant, abrasion resistant coatings |
US4981530A (en) | 1988-11-28 | 1991-01-01 | International Business Machines Corporation | Planarizing ladder-type silsesquioxane polymer insulation layer |
US5455145A (en) | 1988-12-24 | 1995-10-03 | Mitsubishi Denki Kabushiki Kaisha | Method of manufacturing double layer resist pattern and double layer resist structure |
US5300402A (en) | 1988-12-30 | 1994-04-05 | International Business Machines Corporation | Composition for photo imaging |
US5439766A (en) | 1988-12-30 | 1995-08-08 | International Business Machines Corporation | Composition for photo imaging |
US5026624A (en) | 1989-03-03 | 1991-06-25 | International Business Machines Corporation | Composition for photo imaging |
US4940651A (en) | 1988-12-30 | 1990-07-10 | International Business Machines Corporation | Method for patterning cationic curable photoresist |
US6180317B1 (en) | 1988-12-30 | 2001-01-30 | International Business Machines Corporation | Composition for photoimaging |
US5747223A (en) | 1988-12-30 | 1998-05-05 | International Business Machines Corporation | Composition for photoimaging |
DE68908872T2 (en) | 1989-02-03 | 1994-02-10 | Mitsubishi Metal Corp | Method of pulling single crystals. |
US6210862B1 (en) | 1989-03-03 | 2001-04-03 | International Business Machines Corporation | Composition for photoimaging |
US5278010A (en) | 1989-03-03 | 1994-01-11 | International Business Machines Corporation | Composition for photo imaging |
US4885262A (en) | 1989-03-08 | 1989-12-05 | Intel Corporation | Chemical modification of spin-on glass for improved performance in IC fabrication |
DE69027799T2 (en) | 1989-03-14 | 1997-01-23 | Ibm | Chemically amplified photoresist |
US5339197A (en) * | 1989-03-31 | 1994-08-16 | Yen Yung Tsai | Optical pellicle with controlled transmission peaking |
US5009809A (en) | 1989-05-16 | 1991-04-23 | J. M. Huber Corporation | High temperature endothermic blowing agents compositions and applications |
US5137655A (en) | 1989-05-16 | 1992-08-11 | J. M. Huber Corporation | High temperature endothermic blowing agents compositions and applications |
US5106534A (en) | 1989-05-16 | 1992-04-21 | J. M. Huber Corporation | Endothermic blowing agents compositions and applications |
US5250224A (en) | 1989-05-16 | 1993-10-05 | J. M. Huber Corporation | Foamed products containing endothermic blowing agents and processes |
US5302455A (en) | 1989-05-16 | 1994-04-12 | J. M. Huber Corporation | Endothermic blowing agents compositions and applications |
US5317044A (en) | 1989-05-16 | 1994-05-31 | J. M. Huber Corporation | Endothermic blowing agents for surface migration of components in foamed products, compositions and applications |
US5252618A (en) | 1989-05-16 | 1993-10-12 | J. M. Huber Corporation | Endothermic blowing agents for strengthening weld lines in molded thermoplastic resins and products |
US5009810A (en) | 1989-05-16 | 1991-04-23 | J. M. Huber Corporation | Endothermic blowing agents compositions and applications |
US5128232A (en) | 1989-05-22 | 1992-07-07 | Shiply Company Inc. | Photoresist composition with copolymer binder having a major proportion of phenolic units and a minor proportion of non-aromatic cyclic alcoholic units |
US5013608A (en) | 1989-07-07 | 1991-05-07 | Swedlow, Inc. | Highly tintable abrasion resistant coatings |
US5102695A (en) | 1989-07-07 | 1992-04-07 | Swedlow, Inc. | Highly tintable abrasion resistant coatings |
US4999397A (en) | 1989-07-28 | 1991-03-12 | Dow Corning Corporation | Metastable silane hydrolyzates and process for their preparation |
US5045592A (en) | 1989-07-28 | 1991-09-03 | Dow Corning Corporation | Metastable silane hydrolyzates |
US5112728A (en) | 1989-10-05 | 1992-05-12 | Konica Corporation | Silver halide photographic light-sensitive material |
US5059512A (en) | 1989-10-10 | 1991-10-22 | International Business Machines Corporation | Ultraviolet light sensitive photoinitiator compositions, use thereof and radiation sensitive compositions |
EP0423446B1 (en) | 1989-10-17 | 1998-03-04 | Shipley Company Inc. | Near UV photoresist |
US5212046A (en) | 1989-10-17 | 1993-05-18 | Shipley Company Inc. | Near UV photoresist |
CA2027031A1 (en) | 1989-10-18 | 1991-04-19 | Loren A. Haluska | Hermetic substrate coatings in an inert gas atmosphere |
US5252340A (en) | 1989-12-14 | 1993-10-12 | Isolyser Company, Inc. | Method of producing an absorbent composition |
JP2718231B2 (en) | 1990-01-10 | 1998-02-25 | 三菱電機株式会社 | Method for producing high-purity terminal hydroxyphenyl ladder siloxane prepolymer and method for producing high-purity terminal hydroxyphenyl ladder polysiloxane |
US4973526A (en) | 1990-02-15 | 1990-11-27 | Dow Corning Corporation | Method of forming ceramic coatings and resulting articles |
US5043789A (en) | 1990-03-15 | 1991-08-27 | International Business Machines Corporation | Planarizing silsesquioxane copolymer coating |
EP0449263B1 (en) | 1990-03-28 | 1996-06-12 | Japan Synthetic Rubber Co., Ltd. | Polysiloxane-composite polymer particles |
US5104692A (en) | 1990-04-20 | 1992-04-14 | Pilkington Visioncare Holdings, Inc. | Two-layer antireflective coating applied in solution |
US5055372A (en) | 1990-04-23 | 1991-10-08 | The Mead Corporation | Photohardenable composition containing borate salts and ketone initiators |
US6117176A (en) | 1993-11-15 | 2000-09-12 | Applied Elastomerics, Inc. | Elastic-crystal gel |
US6333374B1 (en) | 1990-05-21 | 2001-12-25 | Applied Elastomerics, Inc. | Fluffy, strong, solid elastic gels, articles and method of making same |
US5760117A (en) | 1990-05-21 | 1998-06-02 | Applied Elastomerics, Inc. | Gelatinous composition and articles |
US5938499A (en) | 1993-11-15 | 1999-08-17 | Applied Elastomerics, Inc. | Elastic gel toy |
US5884639A (en) | 1996-03-08 | 1999-03-23 | Applied Elastomerics, Inc. | Crystal gels with improved properties |
US5962572A (en) | 1994-04-19 | 1999-10-05 | Applied Elastomerics, Inc. | Oriented gel and oriented gel articles |
US5868597A (en) | 1990-05-21 | 1999-02-09 | Applied Elastomerics, Inc. | Ultra-soft, ultra-elastic gel airfoils |
US6148830A (en) | 1994-04-19 | 2000-11-21 | Applied Elastomerics, Inc. | Tear resistant, multiblock copolymer gels and articles |
US6552109B1 (en) | 1994-04-19 | 2003-04-22 | Applied Elastomerics, Inc. | Gelatinous elastomer compositions and articles |
US6050871A (en) | 1994-04-19 | 2000-04-18 | Applied Elastomerics, Inc. | Crystal gel airfoils with improved tear resistance and gel airfoils with profiles capable of exhibiting time delay recovery from deformation |
EP0458651B1 (en) | 1990-05-25 | 1994-03-09 | Matsushita Electric Industrial Co., Ltd. | Photosensitive materials comprising organic photoconductive substances in a binder polymer having aromatic rings, OH groups and bromine joined at the aromatic ring or rings |
US5262201A (en) | 1990-06-04 | 1993-11-16 | Dow Corning Corporation | Low temperature process for converting silica precursor coatings to ceramic silica coatings by exposure to ammonium hydroxide or an environment to which water vapor and ammonia vapor have been added |
US5116637A (en) | 1990-06-04 | 1992-05-26 | Dow Corning Corporation | Amine catalysts for the low temperature conversion of silica precursors to silica |
US5059448A (en) | 1990-06-18 | 1991-10-22 | Dow Corning Corporation | Rapid thermal process for obtaining silica coatings |
JP3064337B2 (en) | 1990-06-21 | 2000-07-12 | オリンパス光学工業株式会社 | Real image type variable magnification finder optical system |
US5126289A (en) | 1990-07-20 | 1992-06-30 | At&T Bell Laboratories | Semiconductor lithography methods using an arc of organic material |
US5100503A (en) | 1990-09-14 | 1992-03-31 | Ncr Corporation | Silica-based anti-reflective planarizing layer |
US5302198A (en) | 1990-09-14 | 1994-04-12 | Ncr Corporation | Coating solution for forming glassy layers |
US5527872A (en) | 1990-09-14 | 1996-06-18 | At&T Global Information Solutions Company | Electronic device with a spin-on glass dielectric layer |
US5152834A (en) | 1990-09-14 | 1992-10-06 | Ncr Corporation | Spin-on glass composition |
US5472488A (en) | 1990-09-14 | 1995-12-05 | Hyundai Electronics America | Coating solution for forming glassy layers |
US5340644A (en) | 1990-10-05 | 1994-08-23 | Hercules Incorporated | Organosilicon compositions |
US5059500A (en) | 1990-10-10 | 1991-10-22 | Polaroid Corporation | Process for forming a color filter |
US5140396A (en) | 1990-10-10 | 1992-08-18 | Polaroid Corporation | Filter and solid state imager incorporating this filter |
KR950002949B1 (en) | 1990-10-16 | 1995-03-28 | 미쓰이세끼유 가가꾸고오교오 가부시끼가이샤 | Highly light-transmitting dust protective film process for preparation thereof and dust protective member |
US5055376A (en) | 1990-11-13 | 1991-10-08 | Eastman Kodak Company | Curable compositions containing onium salt photoinitiators which have a chromophore linked to the onium salt moiety through the 3-position and method of use |
JP2991786B2 (en) | 1990-11-22 | 1999-12-20 | 三菱電機株式会社 | Silicone resin composition |
US5063267A (en) | 1990-11-28 | 1991-11-05 | Dow Corning Corporation | Hydrogen silsesquioxane resin fractions and their use as coating materials |
DE59106479D1 (en) | 1990-12-13 | 1995-10-19 | Ciba Geigy Ag | Aqueous dispersion of poorly soluble UV absorbers. |
US5662109A (en) | 1990-12-14 | 1997-09-02 | Hutson; William H. | Method and system for multi-dimensional imaging and analysis for early detection of diseased tissue |
US5256510A (en) | 1990-12-21 | 1993-10-26 | Eastman Kodak Company | Photoelectrographic imaging with near-infrared sensitizing dyes |
US5219788A (en) | 1991-02-25 | 1993-06-15 | Ibm Corporation | Bilayer metallization cap for photolithography |
US5520855A (en) | 1991-03-20 | 1996-05-28 | Kabushiki Kaisha Toshiba | Coating solution composition for forming glass gel thin film, color glass gel filter, and display device using the same |
JPH05202483A (en) | 1991-04-25 | 1993-08-10 | Shipley Co Inc | Method and composition for electroless metallization |
US5648201A (en) | 1991-04-25 | 1997-07-15 | The United Sates Of America As Represented By The Secretary Of The Navy | Efficient chemistry for selective modification and metallization of substrates |
US5165955A (en) | 1991-05-28 | 1992-11-24 | Dow Corning Corporation | Method of depositing a coating containing silicon and oxygen |
US5166093A (en) | 1991-07-31 | 1992-11-24 | Micron Technology, Inc. | Method to reduce the reflectivity of a semi-conductor metallic surface |
DE4132697A1 (en) | 1991-10-01 | 1993-04-08 | Wacker Chemie Gmbh | METHOD FOR PRODUCING ORGANOPOLYSILOXANE RESIN |
US5418136A (en) | 1991-10-01 | 1995-05-23 | Biostar, Inc. | Devices for detection of an analyte based upon light interference |
US5212218A (en) | 1991-10-15 | 1993-05-18 | A. B. Chance Company | Hydrophobic, erodiable synthetic resin composition for electrical insulators |
JP3162441B2 (en) | 1991-10-28 | 2001-04-25 | 三菱化学株式会社 | High rigidity propylene copolymer composition |
US5227334A (en) | 1991-10-31 | 1993-07-13 | Micron Technology, Inc. | LPCVD process for depositing titanium nitride (tin) films and silicon substrates produced thereby |
US6472128B2 (en) | 1996-04-30 | 2002-10-29 | Shipley Company, L.L.C. | Antihalation compositions |
US6528235B2 (en) | 1991-11-15 | 2003-03-04 | Shipley Company, L.L.C. | Antihalation compositions |
US6773864B1 (en) | 1991-11-15 | 2004-08-10 | Shipley Company, L.L.C. | Antihalation compositions |
US6165697A (en) | 1991-11-15 | 2000-12-26 | Shipley Company, L.L.C. | Antihalation compositions |
KR0151545B1 (en) | 1992-02-05 | 1998-10-01 | 마에다 가쓰노스케 | Multilayer coated article |
JP2694097B2 (en) | 1992-03-03 | 1997-12-24 | インターナショナル・ビジネス・マシーンズ・コーポレイション | Antireflection coating composition |
JP3257027B2 (en) | 1992-04-17 | 2002-02-18 | ソニー株式会社 | Information recording / reproducing device having self-diagnosis information recording mechanism |
US5457081A (en) | 1992-05-15 | 1995-10-10 | Dai Nippon Printing Co., Ltd. | Thermal transfer image receiving sheet |
JP2753921B2 (en) | 1992-06-04 | 1998-05-20 | 富士写真フイルム株式会社 | Positive photoresist composition |
JP3252446B2 (en) | 1992-06-25 | 2002-02-04 | 大同特殊鋼株式会社 | Capsule for hot isostatic pressing and method of hot isostatic pressing |
US5576247A (en) | 1992-07-31 | 1996-11-19 | Matsushita Electric Industrial Co., Ltd. | Thin layer forming method wherein hydrophobic molecular layers preventing a BPSG layer from absorbing moisture |
US6909220B2 (en) | 1994-04-19 | 2005-06-21 | Applied Elastomerics, Inc. | High strain tear resistant gels and gel composites for use as artificial muscle actuators |
US6627275B1 (en) | 1994-04-19 | 2003-09-30 | Applied Elastomerics, Incorporated | Tear resistant elastic crystal gels suitable for inflatable restraint cushions and other uses |
US6794440B2 (en) | 1994-04-19 | 2004-09-21 | Applied Elastomerics, Inc. | Tear resistant gelatinous elastomer compositions and articles for use as fishing bait |
US6867253B1 (en) | 1994-04-19 | 2005-03-15 | Applied Elastomerics, Inc. | Tear resistant, crystalline midblock copolymer gels and articles |
US6324703B1 (en) | 1994-04-19 | 2001-12-04 | Applied Elastomerics, Inc. | Strong, soft, tear resistant insulating compositions and composites for extreme cold weather use |
US6420475B1 (en) | 1994-04-19 | 2002-07-16 | Applied Elastomerics, Inc. | Tear resistant elastic crystal gels gel composites and their uses |
JPH06333803A (en) | 1992-09-18 | 1994-12-02 | Sharp Corp | Filter for projection type exposure device |
DE4394591T1 (en) | 1992-09-24 | 1994-10-20 | Kansai Paint Co Ltd | Deck coat composition and film forming method using it |
US5432007A (en) | 1992-10-06 | 1995-07-11 | Shizu Naito | Solvent-free organosiloxane composition and its use |
US5873931A (en) | 1992-10-06 | 1999-02-23 | Minnesota Mining And Manufacturing Company | Coating composition having anti-reflective and anti-fogging properties |
JPH06140396A (en) | 1992-10-23 | 1994-05-20 | Yamaha Corp | Semiconductor device and manufacture thereof |
US5384357A (en) | 1992-11-02 | 1995-01-24 | General Electric Company | Infrared radiation curable organopolysiloxane compositions |
JP2716330B2 (en) * | 1992-11-13 | 1998-02-18 | セントラル硝子株式会社 | Low-reflection glass and its manufacturing method |
US5719249A (en) | 1993-11-29 | 1998-02-17 | Kanegafuchi Kagaku Kogyo Kabushiki Kaisha | Reactive silicon group-containing polyoxyalkylene-polysiloxane copolymer |
US5395734A (en) | 1992-11-30 | 1995-03-07 | Minnesota Mining And Manufacturing Company | Shoot and run printing materials |
DE4241727A1 (en) | 1992-12-10 | 1994-06-16 | Wacker Chemie Gmbh | Self-dispersing organo-polysiloxane compsns., esp. for wood treatment - contain salt of an organo-polysiloxane contg. basic nitrogen, water-insoluble filler, etc., and opt. a basic nitrogen-contg. organo-silicon cpd. |
US5449712A (en) | 1993-01-13 | 1995-09-12 | Thoro System Products, Inc. | Organosilicon emulsions for rendering porous substrates water repellent |
US5414069A (en) | 1993-02-01 | 1995-05-09 | Polaroid Corporation | Electroluminescent polymers, processes for their use, and electroluminescent devices containing these polymers |
US5302849A (en) | 1993-03-01 | 1994-04-12 | Motorola, Inc. | Plastic and grid array semiconductor device and method for making the same |
US5458912A (en) | 1993-03-08 | 1995-10-17 | Dow Corning Corporation | Tamper-proof electronic coatings |
US5512418A (en) | 1993-03-10 | 1996-04-30 | E. I. Du Pont De Nemours And Company | Infra-red sensitive aqueous wash-off photoimaging element |
US6336859B2 (en) | 1993-03-31 | 2002-01-08 | Progressive Games, Inc. | Method for progressive jackpot gaming |
US5328975A (en) | 1993-04-02 | 1994-07-12 | Ppg Industries, Inc. | Ultraviolet radiation absorbing coating |
JP3007766B2 (en) | 1993-04-19 | 2000-02-07 | 松下精工株式会社 | Mixed flow fan |
US6156223A (en) * | 1993-04-26 | 2000-12-05 | Armstrong World Industries, Inc. | Xerogels and their preparation |
JP3152544B2 (en) | 1993-06-24 | 2001-04-03 | シャープ株式会社 | Scanner |
FR2704766B1 (en) | 1993-05-06 | 1995-07-28 | Salomon Sa | Interface device between a ski and binding elements. |
US5576359A (en) | 1993-07-20 | 1996-11-19 | Wako Pure Chemical Industries, Ltd. | Deep ultraviolet absorbent composition |
US5498748A (en) | 1993-07-20 | 1996-03-12 | Wako Pure Chemical Industries, Ltd. | Anthracene derivatives |
US5320868A (en) | 1993-09-13 | 1994-06-14 | Dow Corning Corporation | Method of forming SI-O containing coatings |
DE4331162A1 (en) | 1993-09-14 | 1995-03-16 | Bayer Ag | Process for the preparation of cyanine dyes |
JP3200257B2 (en) | 1993-09-13 | 2001-08-20 | キヤノン株式会社 | Image decoding device |
US5441765A (en) | 1993-09-22 | 1995-08-15 | Dow Corning Corporation | Method of forming Si-O containing coatings |
US5382615A (en) | 1993-10-01 | 1995-01-17 | Eastman Chemical Company | Modified polyethylene based hot-melt adhesives for use in packaging |
US5467626A (en) | 1993-10-01 | 1995-11-21 | The Boeing Company | Integral forming die system for superplastic metal forming |
US6776094B1 (en) | 1993-10-04 | 2004-08-17 | President & Fellows Of Harvard College | Kit For Microcontact Printing |
DE4338360A1 (en) | 1993-11-10 | 1995-05-11 | Inst Neue Mat Gemein Gmbh | Process for the production of functional glass-like layers |
JP3272131B2 (en) | 1993-12-27 | 2002-04-08 | マツダ株式会社 | Gear transmission meshing device |
JP3197135B2 (en) | 1994-02-02 | 2001-08-13 | ポップリベット・ファスナー株式会社 | Stud fixings |
FR2716887B1 (en) | 1994-03-01 | 1996-04-26 | Atochem Elf Sa | Polymers reinforced with cellulose microfibrils, latex, powders, films, corresponding rods, and their applications. |
CN1125481A (en) | 1994-03-11 | 1996-06-26 | 川崎制铁株式会社 | Method of evaluating siloxane used for forming insulation coating, coating fluid used for forming insulation coating, process for producing the fluid, process for forming insulation coating for....... |
JP3026716B2 (en) | 1994-03-16 | 2000-03-27 | 株式会社日立製作所 | 3D display |
DE69511141T2 (en) | 1994-03-28 | 2000-04-20 | Wako Pure Chem Ind Ltd | Resist composition for deep ultraviolet exposure |
US6161555A (en) | 1994-04-19 | 2000-12-19 | Applied Elastomerics, Inc. | Crystal gels useful as dental floss with improved high tear, high tensile, and resistance to high stress rupture properties |
US5759625A (en) | 1994-06-03 | 1998-06-02 | E. I. Du Pont De Nemours And Company | Fluoropolymer protectant layer for high temperature superconductor film and photo-definition thereof |
US5494858A (en) | 1994-06-07 | 1996-02-27 | Texas Instruments Incorporated | Method for forming porous composites as a low dielectric constant layer with varying porosity distribution electronics applications |
US5468591A (en) | 1994-06-14 | 1995-11-21 | Eastman Kodak Company | Barrier layer for laser ablative imaging |
JP3209476B2 (en) | 1994-06-17 | 2001-09-17 | フジコピアン株式会社 | Fabric ink ribbon |
US20020034630A1 (en) | 1994-06-27 | 2002-03-21 | Jean-Paul Cano | Ophthalmic lens made of organic glass with a shockproof intermediate layer, and method for making same |
FR2721720B1 (en) | 1994-06-27 | 1996-09-06 | Essilor Int | Ophthalmic lens made of organic glass with shock-absorbing interlayer and its manufacturing process. |
US5910021A (en) | 1994-07-04 | 1999-06-08 | Yamaha Corporation | Manufacture of semiconductor device with fine pattens |
US5858547A (en) | 1994-07-06 | 1999-01-12 | Alliedsignal, Inc. | Novolac polymer planarization films for microelectronic structures |
US5729563A (en) | 1994-07-07 | 1998-03-17 | Hewlett-Packard Company | Method and apparatus for optically and thermally isolating surface emitting laser diodes |
US5976666A (en) | 1994-08-29 | 1999-11-02 | Sri International | Electromagnetic radiation absorbing devices and associated methods of manufacture and use |
US5498468A (en) | 1994-09-23 | 1996-03-12 | Kimberly-Clark Corporation | Fabrics composed of ribbon-like fibrous material and method to make the same |
US5527562A (en) | 1994-10-21 | 1996-06-18 | Aluminum Company Of America | Siloxane coatings for aluminum reflectors |
US5449639A (en) | 1994-10-24 | 1995-09-12 | Taiwan Semiconductor Manufacturing Company Ltd. | Disposable metal anti-reflection coating process used together with metal dry/wet etch |
KR0129950B1 (en) | 1994-11-30 | 1998-04-03 | 김광호 | Anit-reflective coating composition |
FR2729307B1 (en) | 1995-01-18 | 1997-04-18 | Seppic Sa | USE OF ETHOXYL FATTY ACID ESTERS AS SELF-EMULSIONABLE COMPONENTS ESPECIALLY USEFUL FOR THE PREPARATION OF PHYTOSANITARY TREATMENT PRODUCTS OR MEDICINAL PRODUCTS FOR VETERINARY OR HUMAN USE |
US5679128A (en) | 1995-01-31 | 1997-10-21 | Latting; John Alvis | Dry-bonded nonionic adjuvants |
US5964917A (en) | 1995-01-31 | 1999-10-12 | Latting; John Alvis | Free-flowing fertilizer compositions |
JP3014456U (en) | 1995-02-07 | 1995-08-08 | 朋雄 安嶋 | Deposit certificate that can be peeled off to make a payment slip |
EP0727711A3 (en) | 1995-02-17 | 1997-04-09 | Ocg Microelectronic Materials | Photoresist compositions containing supercritical fluid fractionated polymeric binder resins |
JP3436435B2 (en) | 1995-02-22 | 2003-08-11 | 東レ・ダウコーニング・シリコーン株式会社 | Method of curing ultraviolet-curable silicone composition |
JP3045628U (en) | 1995-03-16 | 1998-02-13 | 慶治郎 尾形 | Pattern piece of shoe sole pattern and pattern composition |
US5580819A (en) | 1995-03-22 | 1996-12-03 | Ppg Industries, Inc. | Coating composition, process for producing antireflective coatings, and coated articles |
US5546017A (en) | 1995-03-23 | 1996-08-13 | Micro Linear Corporation | Hot insertable active SCSI terminator |
GB9617416D0 (en) | 1996-08-20 | 1996-10-02 | Minnesota Mining & Mfg | Thermal bleaching of infrared dyes |
US5935758A (en) | 1995-04-20 | 1999-08-10 | Imation Corp. | Laser induced film transfer system |
GB9508031D0 (en) | 1995-04-20 | 1995-06-07 | Minnesota Mining & Mfg | UV-absorbing media bleachable by IR-radiation |
US5945249A (en) | 1995-04-20 | 1999-08-31 | Imation Corp. | Laser absorbable photobleachable compositions |
US5747553A (en) | 1995-04-26 | 1998-05-05 | Reinforced Polymer Inc. | Low pressure acrylic molding composition with fiber reinforcement |
US6103779A (en) | 1995-04-26 | 2000-08-15 | Reinforced Polmers, Inc. | Method of preparing molding compositions with fiber reinforcement and products obtained therefrom |
US6607991B1 (en) | 1995-05-08 | 2003-08-19 | Electron Vision Corporation | Method for curing spin-on dielectric films utilizing electron beam radiation |
US5635240A (en) | 1995-06-19 | 1997-06-03 | Dow Corning Corporation | Electronic coating materials using mixed polymers |
US6150250A (en) | 1995-07-05 | 2000-11-21 | Yamaha Corporation | Conductive layer forming method using etching mask with direction <200> |
JP3512911B2 (en) | 1995-07-11 | 2004-03-31 | 富士写真フイルム株式会社 | Ultraviolet absorber precursor compound, photosensitive resin composition containing the same and image forming method |
JP3824334B2 (en) | 1995-08-07 | 2006-09-20 | 東京応化工業株式会社 | Silica-based coating forming coating solution and coating forming method |
US5693691A (en) | 1995-08-21 | 1997-12-02 | Brewer Science, Inc. | Thermosetting anti-reflective coatings compositions |
US6770726B1 (en) | 1995-09-12 | 2004-08-03 | Gelest, Inc. | β-substituted organosilsesquioxane polymers |
AU6973296A (en) | 1995-09-12 | 1997-04-01 | Gelest, Inc. | Beta-substituted organosilsesquioxanes and use thereof |
US5583195A (en) | 1995-09-29 | 1996-12-10 | General Electric Company | Photocurable epoxy silicones functionalized with fluorescent or photosensitizing marker dyes |
US5580606A (en) | 1995-10-06 | 1996-12-03 | Singapore Institute Of Standards Etc. | Method for forming interference anti-reflective coatings by plasma surface modification |
US5693701A (en) | 1995-10-26 | 1997-12-02 | Dow Corning Corporation | Tamper-proof electronic coatings |
JPH09120157A (en) | 1995-10-25 | 1997-05-06 | Fuji Photo Film Co Ltd | Damping waterless photosensitive planographic printing plate |
US5663286A (en) | 1995-11-09 | 1997-09-02 | H.B. Fuller Licensing And Financing, Inc. | Nonwoven web comprising water soluble polyamides and articles constructed therefrom |
US5672243A (en) | 1995-11-28 | 1997-09-30 | Mosel Vitelic, Inc. | Antireflection coating for highly reflective photolithographic layers comprising chromium oxide or chromium suboxide |
TW376408B (en) | 1995-12-01 | 1999-12-11 | Nissan Chemical Ind Ltd | Coating film having water repellency and low refractive index |
JP3930591B2 (en) | 1995-12-22 | 2007-06-13 | 東陶機器株式会社 | Photocatalytic hydrophilic coating composition, method for forming hydrophilic film and coated article |
US5629437A (en) | 1996-01-30 | 1997-05-13 | Huls America Inc. | Preparation and use of alkyleneoxysilane compositions |
AU715653B2 (en) | 1996-02-13 | 2000-02-10 | Sola International Inc. | Color-neutral UV blocking coating for plastic lens |
US5756257A (en) | 1996-02-14 | 1998-05-26 | Imation Corp. | Color proofing article incorporating novel antihalation dye |
JP3028852U (en) | 1996-03-08 | 1996-09-13 | 株式会社リボール | Lightweight stone tone noncombustible tile |
JP3436843B2 (en) | 1996-04-25 | 2003-08-18 | 東京応化工業株式会社 | Base material for lithography and resist material for lithography using the same |
US5994431A (en) | 1996-05-03 | 1999-11-30 | 3M Innovative Properties Company | Amide functional ultraviolet light absorbers for polyolefins |
US6291586B2 (en) | 1996-05-03 | 2001-09-18 | 3M Innovative Properties Company | Amide functional ultraviolet light absorbers for polyurethanes and polyureas |
JPH09306954A (en) | 1996-05-20 | 1997-11-28 | Hitachi Ltd | Semiconductor device, mounting thereof and mounting structure |
TW354392B (en) | 1996-07-03 | 1999-03-11 | Du Pont | Photomask blanks |
TW515926B (en) | 1996-07-10 | 2003-01-01 | Matsushita Electric Ind Co Ltd | Liquid crystal alignment film and method for producing the same, and liquid crystal display apparatus using the same and method for producing the same |
JP3222386B2 (en) * | 1996-07-12 | 2001-10-29 | 信越化学工業株式会社 | Coating composition and article treated with the coating composition |
US6040053A (en) | 1996-07-19 | 2000-03-21 | Minnesota Mining And Manufacturing Company | Coating composition having anti-reflective and anti-fogging properties |
JPH1060280A (en) | 1996-08-14 | 1998-03-03 | Japan Synthetic Rubber Co Ltd | Water-based dispersion |
US6072018A (en) | 1996-09-30 | 2000-06-06 | Virginia Tech Intellectual Properties, Inc. | High abrasion resistant coating material |
DE19642419A1 (en) * | 1996-10-14 | 1998-04-16 | Fraunhofer Ges Forschung | Process and coating composition for producing an anti-reflective coating |
AU4991097A (en) | 1996-10-25 | 1998-05-22 | Blue River International, L.L.C. | Silicon coating compositions and uses thereof |
US5767014A (en) | 1996-10-28 | 1998-06-16 | International Business Machines Corporation | Integrated circuit and process for its manufacture |
US6020410A (en) | 1996-10-29 | 2000-02-01 | Alliedsignal Inc. | Stable solution of a silsesquioxane or siloxane resin and a silicone solvent |
US5922299A (en) | 1996-11-26 | 1999-07-13 | Battelle Memorial Institute | Mesoporous-silica films, fibers, and powders by evaporation |
US5695551A (en) | 1996-12-09 | 1997-12-09 | Dow Corning Corporation | Water repellent composition |
JP3207774B2 (en) | 1996-12-11 | 2001-09-10 | 双福鋼器株式会社 | Entry and exit instruction device |
WO1998026019A1 (en) | 1996-12-13 | 1998-06-18 | Matsushita Electric Works, Ltd. | Silicone emulsion coating composition and processes for the preparation thereof |
EP0851300B1 (en) | 1996-12-24 | 2001-10-24 | Fuji Photo Film Co., Ltd. | Bottom anti-reflective coating material composition and method of forming resist pattern using the same |
US5939236A (en) | 1997-02-07 | 1999-08-17 | Shipley Company, L.L.C. | Antireflective coating compositions comprising photoacid generators |
US6174631B1 (en) | 1997-02-10 | 2001-01-16 | E. I. Du Pont De Nemours And Company | Attenuating phase shift photomasks |
US6491840B1 (en) | 2000-02-14 | 2002-12-10 | The Procter & Gamble Company | Polymer compositions having specified PH for improved dispensing and improved stability of wrinkle reducing compositions and methods of use |
DE19710461A1 (en) | 1997-03-13 | 1998-09-17 | Wacker Chemie Gmbh | Organopolysiloxanes containing dye residues |
JP3415741B2 (en) | 1997-03-31 | 2003-06-09 | 東レ・ダウコーニング・シリコーン株式会社 | Composition for forming electrically insulating thin film and method for forming electrically insulating thin film |
US5776559A (en) | 1997-04-11 | 1998-07-07 | Woolford; Esther | Electric Christmas tree |
US6503850B1 (en) * | 1997-04-17 | 2003-01-07 | Alliedsignal Inc. | Process for producing nanoporous dielectric films at high pH |
US6143855A (en) | 1997-04-21 | 2000-11-07 | Alliedsignal Inc. | Organohydridosiloxane resins with high organic content |
US6218497B1 (en) | 1997-04-21 | 2001-04-17 | Alliedsignal Inc. | Organohydridosiloxane resins with low organic content |
US6048804A (en) | 1997-04-29 | 2000-04-11 | Alliedsignal Inc. | Process for producing nanoporous silica thin films |
ES2182274T3 (en) | 1997-05-13 | 2003-03-01 | Kirin Brewery | COATING MATERIAL TO FORM A VITREA FILM, COATING PROCEDURE WITH THE SAME AND COATING APPLICATOR. |
TW473653B (en) | 1997-05-27 | 2002-01-21 | Clariant Japan Kk | Composition for anti-reflective film or photo absorption film and compound used therein |
EP0881678A3 (en) | 1997-05-28 | 2000-12-13 | Texas Instruments Incorporated | Improvements in or relating to porous dielectric structures |
US5883011A (en) | 1997-06-18 | 1999-03-16 | Vlsi Technology, Inc. | Method of removing an inorganic antireflective coating from a semiconductor substrate |
US20010024685A1 (en) | 1997-06-19 | 2001-09-27 | Boulton Jonathan M. | Method for forming a protective coating and substrates coated with the same |
JP4012600B2 (en) | 1997-06-23 | 2007-11-21 | 富士通株式会社 | Acid-sensitive polymer, resist composition, resist pattern forming method, and semiconductor device manufacturing method |
US6448331B1 (en) | 1997-07-15 | 2002-09-10 | Asahi Kasei Kabushiki Kaisha | Alkoxysilane/organic polymer composition for thin insulating film production and use thereof |
JP3473887B2 (en) | 1997-07-16 | 2003-12-08 | 東京応化工業株式会社 | Composition for forming antireflection film and method for forming resist pattern using the same |
US5962067A (en) | 1997-09-09 | 1999-10-05 | Lucent Technologies Inc. | Method for coating an article with a ladder siloxane polymer and coated article |
JP4053631B2 (en) | 1997-10-08 | 2008-02-27 | Azエレクトロニックマテリアルズ株式会社 | Composition for antireflection film or light absorption film and polymer used therefor |
JPH11214658A (en) | 1997-10-23 | 1999-08-06 | Texas Instr Inc <Ti> | Manufacture of dielectric for integrated circuit |
US6126733A (en) | 1997-10-31 | 2000-10-03 | Alliedsignal Inc. | Alcohol based precursors for producing nanoporous silica thin films |
US6090448A (en) | 1997-10-31 | 2000-07-18 | Alliedsignal Inc. | Polyol-based precursors for producing nanoporous silica thin films |
US5953627A (en) | 1997-11-06 | 1999-09-14 | International Business Machines Corporation | Process for manufacture of integrated circuit device |
US6177360B1 (en) | 1997-11-06 | 2001-01-23 | International Business Machines Corporation | Process for manufacture of integrated circuit device |
US6025232A (en) | 1997-11-12 | 2000-02-15 | Micron Technology, Inc. | Methods of forming field effect transistors and related field effect transistor constructions |
US6090399A (en) * | 1997-12-11 | 2000-07-18 | Rohm And Haas Company | Controlled release composition incorporating metal oxide glass comprising biologically active compound |
US6057239A (en) | 1997-12-17 | 2000-05-02 | Advanced Micro Devices, Inc. | Dual damascene process using sacrificial spin-on materials |
US6255671B1 (en) | 1998-01-05 | 2001-07-03 | International Business Machines Corporation | Metal embedded passivation layer structure for microelectronic interconnect formation, customization and repair |
US6173360B1 (en) | 1998-01-09 | 2001-01-09 | International Business Machines Corporation | Apparatus and method for allowing existing ECKD MVS DASD using an ESCON interface to be used by an open storage using SCSI-type interface |
US6190839B1 (en) | 1998-01-15 | 2001-02-20 | Shipley Company, L.L.C. | High conformality antireflective coating compositions |
US6042994A (en) | 1998-01-20 | 2000-03-28 | Alliedsignal Inc. | Nanoporous silica dielectric films modified by electron beam exposure and having low dielectric constant and low water content |
ATE210951T1 (en) | 1998-01-22 | 2002-01-15 | Kettenbach Gmbh & Co Kg | BACKING FOR PROSTHESIS AND METHOD FOR PRODUCTION |
US6190955B1 (en) | 1998-01-27 | 2001-02-20 | International Business Machines Corporation | Fabrication of trench capacitors using disposable hard mask |
US6565813B1 (en) | 1998-02-04 | 2003-05-20 | Merck & Co., Inc. | Virtual wells for use in high throughput screening assays |
DE69914515T2 (en) | 1998-02-06 | 2004-12-16 | Seiwa Kasei Co., Ltd., Higashi-Osaka | Microcapsule with specific wall and method of manufacture |
US5972616A (en) | 1998-02-20 | 1999-10-26 | The Board Of Trustees Of The University Of Arkansas | TADG-15: an extracellular serine protease overexpressed in breast and ovarian carcinomas |
US7022821B1 (en) | 1998-02-20 | 2006-04-04 | O'brien Timothy J | Antibody kit for the detection of TADG-15 protein |
US6503586B1 (en) | 1998-02-25 | 2003-01-07 | Arteva North America S.A.R.L. | Title improved infrared absorbing polyester packaging polymer |
JP3031325B2 (en) | 1998-03-04 | 2000-04-10 | ダイキン工業株式会社 | Recessed ceiling air conditioner |
US6147407A (en) | 1998-03-27 | 2000-11-14 | Lucent Technologies Inc. | Article comprising fluorinated amorphous carbon and process for fabricating article |
JP3047883B2 (en) | 1998-03-17 | 2000-06-05 | 日本電気株式会社 | Output circuit of semiconductor device having test mode |
US6218020B1 (en) | 1999-01-07 | 2001-04-17 | Alliedsignal Inc. | Dielectric films from organohydridosiloxane resins with high organic content |
US6177199B1 (en) | 1999-01-07 | 2001-01-23 | Alliedsignal Inc. | Dielectric films from organohydridosiloxane resins with low organic content |
US5985444A (en) | 1998-04-03 | 1999-11-16 | 3M Innovative Properties Company | Amide functional ultraviolet light absorbers for fluoropolymers |
US6344284B1 (en) | 1998-04-10 | 2002-02-05 | Organic Display Technology | Organic electroluminescent materials and devices made from such materials |
US5986344A (en) | 1998-04-14 | 1999-11-16 | Advanced Micro Devices, Inc. | Anti-reflective coating layer for semiconductor device |
DE19817069A1 (en) | 1998-04-17 | 1999-10-21 | Clariant Gmbh | Colorants reflecting infrared radiation |
EP1090332A4 (en) | 1998-04-29 | 2001-08-29 | Brewer Science Inc | Fast-etching, thermosetting anti-reflective coatings derived from cellulosic binders |
US6576408B2 (en) | 1998-04-29 | 2003-06-10 | Brewer Science, Inc. | Thermosetting anti-reflective coatings comprising aryl urethanes of hydroxypropyl cellulose |
TWI234787B (en) | 1998-05-26 | 2005-06-21 | Tokyo Ohka Kogyo Co Ltd | Silica-based coating film on substrate and coating solution therefor |
US6461970B1 (en) | 1998-06-10 | 2002-10-08 | Micron Technology, Inc. | Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby |
US6022812A (en) | 1998-07-07 | 2000-02-08 | Alliedsignal Inc. | Vapor deposition routes to nanoporous silica |
WO2000003303A1 (en) | 1998-07-10 | 2000-01-20 | Clariant International Ltd. | Composition for bottom reflection preventive film and novel polymeric dye for use in the same |
US6444584B1 (en) | 1998-07-16 | 2002-09-03 | Taiwan Semiconductor Manufacturing Company | Plasma etch method for forming composite silicon/dielectric/silicon stack layer |
US6103456A (en) | 1998-07-22 | 2000-08-15 | Siemens Aktiengesellschaft | Prevention of photoresist poisoning from dielectric antireflective coating in semiconductor fabrication |
DE19834745A1 (en) | 1998-08-01 | 2000-02-03 | Agfa Gevaert Ag | Radiation-sensitive mixture with IR-absorbing, anionic cyanine dyes and recording material produced therewith |
GB9816922D0 (en) * | 1998-08-04 | 1998-09-30 | Pilkington Plc | Improvements in coating glass |
US6335296B1 (en) | 1998-08-06 | 2002-01-01 | Alliedsignal Inc. | Deposition of nanoporous silica films using a closed cup coater |
US6149934A (en) | 1999-04-23 | 2000-11-21 | Kimberly-Clark Worldwide, Inc. | Absorbent article having a lotionized bodyside liner |
US6238379B1 (en) | 1998-08-25 | 2001-05-29 | Kimberly-Clark Worldwide, Inc. | Absorbent article with increased wet breathability |
US6287286B1 (en) | 1998-08-25 | 2001-09-11 | Kimberly-Clark Worldwide, Inc. | Absorbent article having a reduced viability of candida albicans |
US6152906A (en) | 1998-08-25 | 2000-11-28 | Kimberly-Clark Worldwide, Inc. | Absorbent article having improved breathability |
US6497893B1 (en) | 1999-06-30 | 2002-12-24 | Kimberly-Clark Worldwide, Inc. | Silk protein treatment composition and treated substrate for transfer to skin |
US6448464B1 (en) | 1999-07-30 | 2002-09-10 | Kimberly-Clark Worldwide, Inc. | Absorbent article which maintains skin temperature when wet |
US6217890B1 (en) | 1998-08-25 | 2001-04-17 | Susan Carol Paul | Absorbent article which maintains or improves skin health |
US6037275A (en) | 1998-08-27 | 2000-03-14 | Alliedsignal Inc. | Nanoporous silica via combined stream deposition |
US6280911B1 (en) | 1998-09-10 | 2001-08-28 | Shipley Company, L.L.C. | Photoresist compositions comprising blends of ionic and non-ionic photoacid generators |
JP3773664B2 (en) | 1998-09-11 | 2006-05-10 | 三菱電機株式会社 | Drive control device, module, and composite module |
US20020102483A1 (en) | 1998-09-15 | 2002-08-01 | Timothy Adams | Antireflective coating compositions |
US6140254A (en) | 1998-09-18 | 2000-10-31 | Alliedsignal Inc. | Edge bead removal for nanoporous dielectric silica coatings |
JP3523081B2 (en) | 1998-09-21 | 2004-04-26 | 信越化学工業株式会社 | Method for producing organosilicon resin and method for producing polyurethane foam using organosilicon resin obtained by the method |
JP3852889B2 (en) | 1998-09-24 | 2006-12-06 | 富士写真フイルム株式会社 | Anti-reflective coating material composition for photoresist |
US6190830B1 (en) | 1998-09-29 | 2001-02-20 | Kodak Polychrome Graphics Llc | Processless direct write printing plate having heat sensitive crosslinked vinyl polymer with organoonium group and methods of imaging and printing |
US6503233B1 (en) | 1998-10-02 | 2003-01-07 | Kimberly-Clark Worldwide, Inc. | Absorbent article having good body fit under dynamic conditions |
US6673982B1 (en) | 1998-10-02 | 2004-01-06 | Kimberly-Clark Worldwide, Inc. | Absorbent article with center fill performance |
US6562192B1 (en) | 1998-10-02 | 2003-05-13 | Kimberly-Clark Worldwide, Inc. | Absorbent articles with absorbent free-flowing particles and methods for producing the same |
US6667424B1 (en) | 1998-10-02 | 2003-12-23 | Kimberly-Clark Worldwide, Inc. | Absorbent articles with nits and free-flowing particles |
JP3702108B2 (en) | 1998-10-07 | 2005-10-05 | 株式会社東芝 | Resist pattern forming method |
JP2000129073A (en) | 1998-10-26 | 2000-05-09 | Toyo Ink Mfg Co Ltd | Ordinary-temperature-curable resin composition and substrate coated therewith |
JP4366735B2 (en) * | 1998-11-05 | 2009-11-18 | Jsr株式会社 | Abrasives containing polymer particles |
JP3059016U (en) | 1998-11-10 | 1999-07-02 | 啓次 二宮 | Soundproofing device for breaker |
DE19852852A1 (en) | 1998-11-11 | 2000-05-18 | Inst Halbleiterphysik Gmbh | Lithographic process used in emitter structuring of bipolar transistors comprises forming photo-lacquer layer on antireflection layer on substrate and etching |
US6231989B1 (en) | 1998-11-20 | 2001-05-15 | Dow Corning Corporation | Method of forming coatings |
US6251973B1 (en) | 1998-11-23 | 2001-06-26 | Akzo Nobel N.V. | Coatings and coating compositions of a reactive group-containing polymer, a hydrazide and a silane |
US5981675A (en) | 1998-12-07 | 1999-11-09 | Bausch & Lomb Incorporated | Silicone-containing macromonomers and low water materials |
US6326231B1 (en) | 1998-12-08 | 2001-12-04 | Advanced Micro Devices, Inc. | Use of silicon oxynitride ARC for metal layers |
US6235456B1 (en) | 1998-12-09 | 2001-05-22 | Advanced Micros Devices, Inc. | Graded anti-reflective barrier films for ultra-fine lithography |
US6506831B2 (en) | 1998-12-20 | 2003-01-14 | Honeywell International Inc. | Novolac polymer planarization films with high temperature stability |
US6383466B1 (en) | 1998-12-28 | 2002-05-07 | Battelle Memorial Institute | Method of dehydroxylating a hydroxylated material and method of making a mesoporous film |
US6329017B1 (en) | 1998-12-23 | 2001-12-11 | Battelle Memorial Institute | Mesoporous silica film from a solution containing a surfactant and methods of making same |
US6342249B1 (en) | 1998-12-23 | 2002-01-29 | Alza Corporation | Controlled release liquid active agent formulation dosage forms |
US6635281B2 (en) | 1998-12-23 | 2003-10-21 | Alza Corporation | Gastric retaining oral liquid dosage form |
KR100363695B1 (en) | 1998-12-31 | 2003-04-11 | 주식회사 하이닉스반도체 | Organic diffuse reflection prevention polymer and its manufacturing method |
US6544717B2 (en) | 1999-01-28 | 2003-04-08 | Tokyo Ohka Kogyo Co., Ltd. | Undercoating composition for photolithographic resist |
TW476865B (en) | 1999-01-28 | 2002-02-21 | Tokyo Ohka Kogyo Co Ltd | Undercoating composition for photolithographic resist |
US6187505B1 (en) | 1999-02-02 | 2001-02-13 | International Business Machines Corporation | Radiation sensitive silicon-containing resists |
EP1031579B1 (en) | 1999-02-26 | 2005-07-27 | Showa Denko Kabushiki Kaisha | Photopolymerization initiator for color filter, photosensitive coloring composition, and color filter |
US6316165B1 (en) | 1999-03-08 | 2001-11-13 | Shipley Company, L.L.C. | Planarizing antireflective coating compositions |
JP4270632B2 (en) | 1999-03-12 | 2009-06-03 | 株式会社東芝 | Manufacturing method of semiconductor device using dry etching |
US6849923B2 (en) | 1999-03-12 | 2005-02-01 | Kabushiki Kaisha Toshiba | Semiconductor device and manufacturing method of the same |
US6426125B1 (en) | 1999-03-17 | 2002-07-30 | General Electric Company | Multilayer article and method of making by ARC plasma deposition |
US6313257B1 (en) | 1999-03-23 | 2001-11-06 | Lord Corporation | Poly (mercaptopropylaryl) curatives |
JP3543669B2 (en) | 1999-03-31 | 2004-07-14 | 信越化学工業株式会社 | Coating liquid for forming insulating film and method for forming insulating film |
TW421670B (en) * | 1999-04-02 | 2001-02-11 | Ind Tech Res Inst | Fast-cured sol materials |
US6204202B1 (en) | 1999-04-14 | 2001-03-20 | Alliedsignal, Inc. | Low dielectric constant porous films |
US6409883B1 (en) | 1999-04-16 | 2002-06-25 | Kimberly-Clark Worldwide, Inc. | Methods of making fiber bundles and fibrous structures |
DE19917366A1 (en) * | 1999-04-16 | 2000-10-19 | Inst Neue Mat Gemein Gmbh | Substrate surface, useful for the production of easy clean systems, comprises a hydrolyzable compound condensate having a microstructure such that the contact angle with water or oil is increased. |
FR2792323B1 (en) | 1999-04-19 | 2001-07-06 | Centre Nat Etd Spatiales | TRANSPARENT NON-WETTING COATING COMPOSITION AND COATED ARTICLES OBTAINED |
JP3064753U (en) | 1999-05-18 | 2000-01-21 | 竹沢産業株式会社 | Blower |
US6509259B1 (en) | 1999-06-09 | 2003-01-21 | Alliedsignal Inc. | Process of using siloxane dielectric films in the integration of organic dielectric films in electronic devices |
WO2000077575A1 (en) | 1999-06-10 | 2000-12-21 | Alliedsignal Inc. | Spin-on-glass anti-reflective coatings for photolithography |
US6268457B1 (en) | 1999-06-10 | 2001-07-31 | Allied Signal, Inc. | Spin-on glass anti-reflective coatings for photolithography |
US6824879B2 (en) | 1999-06-10 | 2004-11-30 | Honeywell International Inc. | Spin-on-glass anti-reflective coatings for photolithography |
US6890448B2 (en) | 1999-06-11 | 2005-05-10 | Shipley Company, L.L.C. | Antireflective hard mask compositions |
US6329118B1 (en) | 1999-06-21 | 2001-12-11 | Intel Corporation | Method for patterning dual damascene interconnects using a sacrificial light absorbing material |
US6696538B2 (en) | 1999-07-27 | 2004-02-24 | Lg Chemical Ltd. | Semiconductor interlayer dielectric material and a semiconductor device using the same |
WO2001009231A1 (en) | 1999-07-30 | 2001-02-08 | Ppg Industries Ohio, Inc. | Cured coatings having improved scratch resistance, coated substrates and methods related thereto |
US6623791B2 (en) | 1999-07-30 | 2003-09-23 | Ppg Industries Ohio, Inc. | Coating compositions having improved adhesion, coated substrates and methods related thereto |
US6475892B1 (en) | 1999-08-02 | 2002-11-05 | Aadvanced Micro Devices, Inc. | Simplified method of patterning polysilicon gate in a semiconductor device |
US6107167A (en) | 1999-08-02 | 2000-08-22 | Advanced Micro Devices, Inc. | Simplified method of patterning polysilicon gate in a semiconductor device |
US6335235B1 (en) | 1999-08-17 | 2002-01-01 | Advanced Micro Devices, Inc. | Simplified method of patterning field dielectric regions in a semiconductor device |
US6318124B1 (en) | 1999-08-23 | 2001-11-20 | Alliedsignal Inc. | Nanoporous silica treated with siloxane polymers for ULSI applications |
AR027842A1 (en) | 1999-08-23 | 2003-04-16 | Kimberly Clark Co | AN ABSORBENT ARTICLE WHICH MAINTAINS OR IMPROVES SKIN HEALTH |
AR025300A1 (en) | 1999-08-23 | 2002-11-20 | Kimberly Clark Co | A DISPOSABLE ABSORBENT ARTICLE WITH CAPACITY TO BREATHE IN INCREASED MOISTURE. |
JP2001079491A (en) | 1999-09-10 | 2001-03-27 | Koito Mfg Co Ltd | Method for forming coating film and lamp for vehicle formed by the method |
WO2001020641A1 (en) | 1999-09-13 | 2001-03-22 | Koninklijke Philips Electronics N.V. | Electric lamp |
JP4248098B2 (en) | 1999-09-20 | 2009-04-02 | 東京応化工業株式会社 | Antireflection film forming composition and resist pattern forming method |
US6410150B1 (en) | 1999-09-29 | 2002-06-25 | Jsr Corporation | Composition for film formation, method of film formation, and insulating film |
US20040089238A1 (en) | 1999-10-04 | 2004-05-13 | Jerome Birnbaum | Vacuum/gas phase reactor for dehydroxylation and alkylation of porous silica |
EP1094506A3 (en) * | 1999-10-18 | 2004-03-03 | Applied Materials, Inc. | Capping layer for extreme low dielectric constant films |
US6315946B1 (en) | 1999-10-21 | 2001-11-13 | The United States Of America As Represented By The Secretary Of The Navy | Ultra low carbon bainitic weathering steel |
US6359096B1 (en) | 1999-10-25 | 2002-03-19 | Dow Corning Corporation | Silicone resin compositions having good solution solubility and stability |
US6232424B1 (en) | 1999-12-13 | 2001-05-15 | Dow Corning Corporation | Soluble silicone resin compositions having good solution stability |
US6313045B1 (en) | 1999-12-13 | 2001-11-06 | Dow Corning Corporation | Nanoporous silicone resins having low dielectric constants and method for preparation |
US6541107B1 (en) | 1999-10-25 | 2003-04-01 | Dow Corning Corporation | Nanoporous silicone resins having low dielectric constants |
US6403464B1 (en) | 1999-11-03 | 2002-06-11 | Taiwan Semiconductor Manufacturing Company | Method to reduce the moisture content in an organic low dielectric constant material |
US6391524B2 (en) | 1999-11-19 | 2002-05-21 | Kodak Polychrome Graphics Llc | Article having imagable coatings |
US6592980B1 (en) | 1999-12-07 | 2003-07-15 | Air Products And Chemicals, Inc. | Mesoporous films having reduced dielectric constants |
US6365266B1 (en) | 1999-12-07 | 2002-04-02 | Air Products And Chemicals, Inc. | Mesoporous films having reduced dielectric constants |
WO2001042155A1 (en) | 1999-12-13 | 2001-06-14 | Nippon Sheet Glass Co., Ltd. | Low-reflection glass article |
TW468053B (en) | 1999-12-14 | 2001-12-11 | Nissan Chemical Ind Ltd | Antireflection film, process for forming the antireflection film, and antireflection glass |
US20030157340A1 (en) | 2000-02-01 | 2003-08-21 | Jsr Corporation | Process for producing silica-based film, silica-based film, insulating film, and semiconductor device |
US6902771B2 (en) | 2000-02-01 | 2005-06-07 | Jsr Corporation | Process for producing silica-based film, silica-based film, insulating film, and semiconductor device |
US20030104225A1 (en) | 2000-02-01 | 2003-06-05 | Jsr Corporation | Process for producing silica-based film, silica-based film, insulating film, and semiconductor device |
JP4195773B2 (en) | 2000-04-10 | 2008-12-10 | Jsr株式会社 | Composition for forming interlayer insulating film, method for forming interlayer insulating film, and silica-based interlayer insulating film |
US6306736B1 (en) | 2000-02-04 | 2001-10-23 | The Regents Of The University Of California | Process for forming shaped group III-V semiconductor nanocrystals, and product formed using process |
IL146385A (en) | 2000-02-08 | 2007-03-08 | Adsil Lc | Method for improving heat efficiency using silane coatings and coated articles produced thereby |
EP1255806B1 (en) | 2000-02-14 | 2006-09-27 | The Procter & Gamble Company | Stable, aqueous compositions for treating surfaces, especially fabrics |
KR100610406B1 (en) | 2000-02-22 | 2006-08-09 | 브레우어 사이언스 인코포레이션 | Organic polymeric antireflective coatings deposited by chemical vapor deposition |
JP2001240800A (en) | 2000-02-25 | 2001-09-04 | Nippon Sheet Glass Co Ltd | Preparation process of article having predetermined surface form |
KR20030011778A (en) | 2000-02-28 | 2003-02-11 | 애드실, 엘씨 | Silane-based, coating compositions, coated articles obtained therefrom and methods of using same |
DE60138327D1 (en) | 2000-02-28 | 2009-05-28 | Jsr Corp | Film-making composition, film-forming method and silica-based film |
JP2001242803A (en) | 2000-02-29 | 2001-09-07 | Sony Corp | Display device and method of manufacturing the same |
US6451420B1 (en) | 2000-03-17 | 2002-09-17 | Nanofilm, Ltd. | Organic-inorganic hybrid polymer and method of making same |
JP3604007B2 (en) | 2000-03-29 | 2004-12-22 | 富士通株式会社 | Low dielectric constant film forming material, and film and semiconductor device manufacturing method using the same |
WO2001074937A1 (en) | 2000-03-30 | 2001-10-11 | General Electric Company | Transparent, flame retardant poly(arylene ether) blends |
JP3795333B2 (en) | 2000-03-30 | 2006-07-12 | 東京応化工業株式会社 | Anti-reflection film forming composition |
US6576568B2 (en) | 2000-04-04 | 2003-06-10 | Applied Materials, Inc. | Ionic additives for extreme low dielectric constant chemical formulations |
US7265062B2 (en) | 2000-04-04 | 2007-09-04 | Applied Materials, Inc. | Ionic additives for extreme low dielectric constant chemical formulations |
US6593388B2 (en) | 2000-04-04 | 2003-07-15 | Renssealer Polytechnic Institute | Oligomeric and polymeric photosensitizers comprising a polynuclear aromatic group |
US6268294B1 (en) | 2000-04-04 | 2001-07-31 | Taiwan Semiconductor Manufacturing Company | Method of protecting a low-K dielectric material |
US7128976B2 (en) | 2000-04-10 | 2006-10-31 | Jsr Corporation | Composition for film formation, method of film formation, and silica-based film |
EP1146099B1 (en) | 2000-04-13 | 2006-03-22 | JSR Corporation | Coating composition, method for producing the same, cured product and coating film |
US6374738B1 (en) | 2000-05-03 | 2002-04-23 | Presstek, Inc. | Lithographic imaging with non-ablative wet printing members |
US6504525B1 (en) | 2000-05-03 | 2003-01-07 | Xerox Corporation | Rotating element sheet material with microstructured substrate and method of use |
US6495479B1 (en) * | 2000-05-05 | 2002-12-17 | Honeywell International, Inc. | Simplified method to produce nanoporous silicon-based films |
US6632535B1 (en) | 2000-06-08 | 2003-10-14 | Q2100, Inc. | Method of forming antireflective coatings |
JP3846545B2 (en) | 2000-06-08 | 2006-11-15 | 信越化学工業株式会社 | Coating agent composition, coating method and coated article |
US6852766B1 (en) | 2000-06-15 | 2005-02-08 | 3M Innovative Properties Company | Multiphoton photosensitization system |
US6420088B1 (en) | 2000-06-23 | 2002-07-16 | International Business Machines Corporation | Antireflective silicon-containing compositions as hardmask layer |
US6323268B1 (en) | 2000-06-27 | 2001-11-27 | Dow Corning Corporation | Organosilicon water repellent compositions |
US6891237B1 (en) | 2000-06-27 | 2005-05-10 | Lucent Technologies Inc. | Organic semiconductor device having an active dielectric layer comprising silsesquioxanes |
JP2002023350A (en) | 2000-07-07 | 2002-01-23 | Fuji Photo Film Co Ltd | Negative type original plate of planographic printing plate |
US6271273B1 (en) | 2000-07-14 | 2001-08-07 | Shipley Company, L.L.C. | Porous materials |
US6368400B1 (en) | 2000-07-17 | 2002-04-09 | Honeywell International | Absorbing compounds for spin-on-glass anti-reflective coatings for photolithography |
JP2002043423A (en) | 2000-07-24 | 2002-02-08 | Tokyo Ohka Kogyo Co Ltd | Method for processing film and method for manufacturing semiconductor device using the same |
US6838178B1 (en) * | 2000-07-26 | 2005-01-04 | Libbey-Owens-Ford Co. | Glass article with anti-reflective coating |
US6635341B1 (en) | 2000-07-31 | 2003-10-21 | Ppg Industries Ohio, Inc. | Coating compositions comprising silyl blocked components, coating, coated substrates and methods related thereto |
TW556047B (en) | 2000-07-31 | 2003-10-01 | Shipley Co Llc | Coated substrate, method for forming photoresist relief image, and antireflective composition |
DE60120178T2 (en) | 2000-08-03 | 2007-04-26 | Ciba Speciality Chemicals Holding Inc. | PHOTOSTABILE, SILYLATED BENZOTRIAZOLE UV ABSORBERS AND COMPOSITIONS STABILIZED THEREOF |
US6803034B2 (en) | 2000-08-18 | 2004-10-12 | Procter & Gamble Company | Compositions and methods for odor and fungal control in ballistic fabric and other protective garments |
US6645685B2 (en) | 2000-09-06 | 2003-11-11 | Mitsubishi Paper Mills Limited | Process for producing printed wiring board |
AU2001288954A1 (en) | 2000-09-13 | 2002-03-26 | Shipley Company, L.L.C. | Electronic device manufacture |
JP3993373B2 (en) | 2000-09-14 | 2007-10-17 | 信越化学工業株式会社 | Polyorganosiloxane compound and coating composition containing the same |
KR100382702B1 (en) | 2000-09-18 | 2003-05-09 | 주식회사 엘지화학 | Method for preparing organic silicate polymer |
US6505362B1 (en) | 2000-09-25 | 2003-01-14 | Thomas Scipio | Method and system for cushioning a mobile prone person |
US6465358B1 (en) | 2000-10-06 | 2002-10-15 | Intel Corporation | Post etch clean sequence for making a semiconductor device |
KR100382955B1 (en) | 2000-10-10 | 2003-05-09 | 엘지.필립스 엘시디 주식회사 | Method for fabricating the array substrate for Liquid crystal display device and the same |
US6864040B2 (en) | 2001-04-11 | 2005-03-08 | Kodak Polychrome Graphics Llc | Thermal initiator system using leuco dyes and polyhalogene compounds |
US6884568B2 (en) | 2000-10-17 | 2005-04-26 | Kodak Polychrome Graphics, Llc | Stabilized infrared-sensitive polymerizable systems |
DE10051724A1 (en) | 2000-10-18 | 2002-05-02 | Flabeg Gmbh & Co Kg | Thermally tempered safety glass used for covers of solar collectors, for photovoltaic cells, for vehicle windscreens and/or for glazing has a porous silicon dioxide layer having a specified refractive index |
DE10051725A1 (en) | 2000-10-18 | 2002-05-02 | Merck Patent Gmbh | Aqueous coating solution for abrasion-resistant SiO2 anti-reflective coatings |
US6756520B1 (en) | 2000-10-20 | 2004-06-29 | Kimberly-Clark Worldwide, Inc. | Hydrophilic compositions for use on absorbent articles to enhance skin barrier |
US6503526B1 (en) | 2000-10-20 | 2003-01-07 | Kimberly-Clark Worldwide, Inc. | Absorbent articles enhancing skin barrier function |
JP2002129103A (en) | 2000-10-23 | 2002-05-09 | Jsr Corp | Film-forming composition and insulating film-forming material |
US6455416B1 (en) | 2000-10-24 | 2002-09-24 | Advanced Micro Devices, Inc. | Developer soluble dyed BARC for dual damascene process |
JP4632522B2 (en) | 2000-11-30 | 2011-02-16 | Nec液晶テクノロジー株式会社 | Method for manufacturing reflective liquid crystal display device |
US6699647B2 (en) | 2000-12-21 | 2004-03-02 | Eastman Kodak Company | High speed photothermographic materials containing tellurium compounds and methods of using same |
US6749860B2 (en) | 2000-12-22 | 2004-06-15 | Kimberly-Clark Worldwide, Inc. | Absorbent articles with non-aqueous compositions containing botanicals |
US20020128615A1 (en) | 2000-12-22 | 2002-09-12 | Tyrrell David John | Absorbent articles with non-aqueous compositions containing anionic polymers |
US6832064B2 (en) | 2000-12-29 | 2004-12-14 | Samsung Electronics Co., Ltd. | Seamless drying belt for electrophotographic process |
WO2002058699A1 (en) | 2001-01-25 | 2002-08-01 | Bristol-Myers Squibb Company | Pharmaceutical forms of epothilones for oral administration |
DE10103421A1 (en) | 2001-01-26 | 2002-08-14 | Ge Bayer Silicones Gmbh & Co | Polyorganosiloxane composition |
US7026053B2 (en) | 2001-01-29 | 2006-04-11 | Jsr Corporation | Process for producing silica-based film, silica-based film, insulating film, and semiconductor device |
US6465889B1 (en) | 2001-02-07 | 2002-10-15 | Advanced Micro Devices, Inc. | Silicon carbide barc in dual damascene processing |
JP2002235037A (en) | 2001-02-13 | 2002-08-23 | Jsr Corp | Method for producing composition for film formation, composition for film formation, method for forming film, and silica-based film |
US6709257B2 (en) | 2001-02-20 | 2004-03-23 | Q2100, Inc. | Eyeglass lens forming apparatus with sensor |
US6712331B2 (en) | 2001-02-20 | 2004-03-30 | Q2100, Inc. | Holder for mold assemblies with indicia |
US6676398B2 (en) | 2001-02-20 | 2004-01-13 | Q2100, Inc. | Apparatus for preparing an eyeglass lens having a prescription reader |
US7052262B2 (en) | 2001-02-20 | 2006-05-30 | Q2100, Inc. | System for preparing eyeglasses lens with filling station |
US6875005B2 (en) | 2001-02-20 | 2005-04-05 | Q1200, Inc. | Apparatus for preparing an eyeglass lens having a gating device |
US7139636B2 (en) | 2001-02-20 | 2006-11-21 | Q2100, Inc. | System for preparing eyeglass lenses with bar code reader |
US6808381B2 (en) | 2001-02-20 | 2004-10-26 | Q2100, Inc. | Apparatus for preparing an eyeglass lens having a controller |
US6726463B2 (en) | 2001-02-20 | 2004-04-27 | Q2100, Inc. | Apparatus for preparing an eyeglass lens having a dual computer system controller |
US7011773B2 (en) | 2001-02-20 | 2006-03-14 | Q2100, Inc. | Graphical interface to display mold assembly position in a lens forming apparatus |
US6758663B2 (en) | 2001-02-20 | 2004-07-06 | Q2100, Inc. | System for preparing eyeglass lenses with a high volume curing unit |
US6702564B2 (en) | 2001-02-20 | 2004-03-09 | Q2100, Inc. | System for preparing an eyeglass lens using colored mold holders |
US6790024B2 (en) | 2001-02-20 | 2004-09-14 | Q2100, Inc. | Apparatus for preparing an eyeglass lens having multiple conveyor systems |
US6655946B2 (en) | 2001-02-20 | 2003-12-02 | Q2100, Inc. | Apparatus for preparing an eyeglass lens having a controller for conveyor and curing units |
US6893245B2 (en) | 2001-02-20 | 2005-05-17 | Q2100, Inc. | Apparatus for preparing an eyeglass lens having a computer system controller |
US6612828B2 (en) | 2001-02-20 | 2003-09-02 | Q2100, Inc. | Fill system with controller for monitoring use |
US6752613B2 (en) | 2001-02-20 | 2004-06-22 | Q2100, Inc. | Apparatus for preparing an eyeglass lens having a controller for initiation of lens curing |
US6840752B2 (en) | 2001-02-20 | 2005-01-11 | Q2100, Inc. | Apparatus for preparing multiple eyeglass lenses |
US6717181B2 (en) | 2001-02-22 | 2004-04-06 | Semiconductor Energy Laboratory Co., Ltd. | Luminescent device having thin film transistor |
US6797343B2 (en) | 2001-12-20 | 2004-09-28 | Dielectric Systems, Inc. | Dielectric thin films from fluorinated precursors |
US6703462B2 (en) | 2001-08-09 | 2004-03-09 | Dielectric Systems Inc. | Stabilized polymer film and its manufacture |
US6825303B2 (en) | 2001-02-26 | 2004-11-30 | Dielectric Systems, Inc. | Integration of low ε thin films and Ta into Cu dual damascene |
US20030198578A1 (en) | 2002-04-18 | 2003-10-23 | Dielectric Systems, Inc. | Multi-stage-heating thermal reactor for transport polymerization |
KR100496420B1 (en) | 2001-03-02 | 2005-06-17 | 삼성에스디아이 주식회사 | TFT with souece/drain electrode of double layer and Method for Fabricating the Same and Active Matrix display device and Method for fabricating the Same using the TFT |
US20020123592A1 (en) | 2001-03-02 | 2002-09-05 | Zenastra Photonics Inc. | Organic-inorganic hybrids surface adhesion promoter |
US6582861B2 (en) | 2001-03-16 | 2003-06-24 | Applied Materials, Inc. | Method of reshaping a patterned organic photoresist surface |
JP4545973B2 (en) | 2001-03-23 | 2010-09-15 | 富士通株式会社 | Silicon-based composition, low dielectric constant film, semiconductor device, and method of manufacturing low dielectric constant film |
JP2002285086A (en) | 2001-03-26 | 2002-10-03 | Jsr Corp | Composition for forming film, method for forming film and silica film |
JP3908552B2 (en) | 2001-03-29 | 2007-04-25 | Nec液晶テクノロジー株式会社 | Liquid crystal display device and manufacturing method thereof |
US6617257B2 (en) | 2001-03-30 | 2003-09-09 | Lam Research Corporation | Method of plasma etching organic antireflective coating |
US20040091811A1 (en) | 2002-10-30 | 2004-05-13 | Munnelly Heidi M. | Hetero-substituted aryl acetic acid co-initiators for IR-sensitive compositions |
US6846614B2 (en) | 2002-02-04 | 2005-01-25 | Kodak Polychrome Graphics Llc | On-press developable IR sensitive printing plates |
US6893797B2 (en) | 2001-11-09 | 2005-05-17 | Kodak Polychrome Graphics Llc | High speed negative-working thermal printing plates |
US6599995B2 (en) | 2001-05-01 | 2003-07-29 | Korea Institute Of Science And Technology | Polyalkylaromaticsilsesquioxane and preparation method thereof |
TW576859B (en) | 2001-05-11 | 2004-02-21 | Shipley Co Llc | Antireflective coating compositions |
KR100744955B1 (en) | 2001-05-21 | 2007-08-02 | 엘지.필립스 엘시디 주식회사 | An array substrate for IPS LCD and method for fabricating thereof |
US6740685B2 (en) | 2001-05-30 | 2004-05-25 | Honeywell International Inc. | Organic compositions |
JP4146105B2 (en) | 2001-05-30 | 2008-09-03 | 富士フイルム株式会社 | Ultraviolet absorber and method for producing the same, composition containing ultraviolet absorber, and image forming method |
US6448185B1 (en) | 2001-06-01 | 2002-09-10 | Intel Corporation | Method for making a semiconductor device that has a dual damascene interconnect |
WO2002099470A2 (en) | 2001-06-05 | 2002-12-12 | Flexplay Technologies, Inc. | Limited play optical devices with interstitial reactive layer and methods of making same |
US6558880B1 (en) | 2001-06-06 | 2003-05-06 | Eastman Kodak Company | Thermally developable imaging materials containing heat-bleachable antihalation composition |
JP4181312B2 (en) | 2001-06-25 | 2008-11-12 | 富士フイルム株式会社 | Negative image recording material |
US6890855B2 (en) | 2001-06-27 | 2005-05-10 | International Business Machines Corporation | Process of removing residue material from a precision surface |
DE60234409D1 (en) | 2001-06-29 | 2009-12-31 | Jsr Corp | Acid generator, sulfonic acid, sulfonic acid derivatives and radiation-sensitive composition |
JP4965033B2 (en) | 2001-06-29 | 2012-07-04 | 東レ・ダウコーニング株式会社 | Liquid alkoxysilyl functional silicone resin, method for producing the same, and curable silicone resin composition |
DE10135640A1 (en) | 2001-07-21 | 2003-02-06 | Covion Organic Semiconductors | Organic semiconductor solution used for organic integrated switches, organic field effect transistors, organic thin film transistors, organic solar cells and organic laser diodes contains one or more additives |
US6703169B2 (en) | 2001-07-23 | 2004-03-09 | Applied Materials, Inc. | Method of preparing optically imaged high performance photomasks |
US6596404B1 (en) | 2001-07-26 | 2003-07-22 | Dow Corning Corporation | Siloxane resins |
US6649212B2 (en) | 2001-07-30 | 2003-11-18 | Guardian Industries Corporation | Modified silicon-based UV absorbers useful in crosslinkable polysiloxane coatings via sol-gel polymerization |
US6592999B1 (en) | 2001-07-31 | 2003-07-15 | Ppg Industries Ohio, Inc. | Multi-layer composites formed from compositions having improved adhesion, coating compositions, and methods related thereto |
JP2003050459A (en) | 2001-08-07 | 2003-02-21 | Hitachi Chem Co Ltd | Photosensitive resin composition, photosensitive element, method for producing resist pattern and method for producing printed wiring board |
JP2003064307A (en) | 2001-08-28 | 2003-03-05 | Hitachi Chem Co Ltd | Silica-based film, composition for forming silica-based film, method for producing silica-based film and electronic part |
JP4972834B2 (en) | 2001-08-28 | 2012-07-11 | 日立化成工業株式会社 | Siloxane resin |
KR100436220B1 (en) | 2001-08-30 | 2004-06-12 | 주식회사 네패스 | Organic polymers for bottom antireflective coating, processes for preparing the same, and compositions containing the same |
US6514677B1 (en) | 2001-08-31 | 2003-02-04 | Eastman Kodak Company | Thermally developable infrared sensitive imaging materials containing heat-bleachable antihalation composition |
US6824952B1 (en) | 2001-09-13 | 2004-11-30 | Microchem Corp. | Deep-UV anti-reflective resist compositions |
DE10146687C1 (en) | 2001-09-21 | 2003-06-26 | Flabeg Solarglas Gmbh & Co Kg | Glass with a porous anti-reflective surface coating and method for producing the glass and use of such a glass |
US6890605B2 (en) | 2001-09-25 | 2005-05-10 | Jsr Corporation | Method of film formation, insulating film, and substrate for semiconductor |
TW591341B (en) | 2001-09-26 | 2004-06-11 | Shipley Co Llc | Coating compositions for use with an overcoated photoresist |
US6730461B2 (en) | 2001-10-26 | 2004-05-04 | Eastman Kodak Company | Thermally developable imaging materials with reduced mottle providing improved image uniformity |
US6949297B2 (en) | 2001-11-02 | 2005-09-27 | 3M Innovative Properties Company | Hybrid adhesives, articles, and methods |
US6617609B2 (en) | 2001-11-05 | 2003-09-09 | 3M Innovative Properties Company | Organic thin film transistor with siloxane polymer interface |
JP4381636B2 (en) | 2001-11-05 | 2009-12-09 | 新日鐵化学株式会社 | Silicone resin composition and silicone resin molded article |
CN1606713B (en) | 2001-11-15 | 2011-07-06 | 霍尼韦尔国际公司 | Spincoating antireflection paint for photolithography |
KR100818678B1 (en) | 2001-11-16 | 2008-04-01 | 허니웰 인터내셔널 인코포레이티드 | Spin-On Glass Anti-Reflective Coatings For Photolithography |
US6589658B1 (en) * | 2001-11-29 | 2003-07-08 | Guardian Industries Corp. | Coated article with anti-reflective layer(s) system |
US6573175B1 (en) | 2001-11-30 | 2003-06-03 | Micron Technology, Inc. | Dry low k film application for interlevel dielectric and method of cleaning etched features |
US6586102B1 (en) * | 2001-11-30 | 2003-07-01 | Guardian Industries Corp. | Coated article with anti-reflective layer(s) system |
WO2003052003A1 (en) * | 2001-12-14 | 2003-06-26 | Asahi Kasei Kabushiki Kaisha | Coating composition for forming low-refractive index thin layers |
KR100635042B1 (en) | 2001-12-14 | 2006-10-17 | 삼성에스디아이 주식회사 | Flat Panel Display Device with Face Plate and Fabrication Method thereof |
JP2003183575A (en) | 2001-12-20 | 2003-07-03 | Mitsui Chemicals Inc | Porous silica film-forming coating having excellent storage stability, method for producing coating, and method for producing porous silica film having regularly arranged uniform mesopore, porous silica film and its use |
KR100652046B1 (en) | 2001-12-22 | 2006-11-30 | 엘지.필립스 엘시디 주식회사 | A Liquid Crystal Display Device And The Method For Manufacturing The Same |
US20030171729A1 (en) | 2001-12-28 | 2003-09-11 | Kaun James Martin | Multifunctional containment sheet and system for absorbent atricles |
US6924384B2 (en) | 2002-01-08 | 2005-08-02 | Silecs Oy | Methods and compounds for making coatings, waveguides and other optical devices |
US20030171607A1 (en) | 2002-01-08 | 2003-09-11 | Rantala Juha T. | Methods and compounds for making coatings, waveguides and other optical devices |
US6831189B2 (en) | 2002-01-08 | 2004-12-14 | Silecs Oy | Methods and compounds for making coatings, waveguides and other optical devices |
US6803476B2 (en) | 2002-01-08 | 2004-10-12 | Silecs Oy | Methods and compounds for making coatings, waveguides and other optical devices |
US20030176718A1 (en) | 2002-01-08 | 2003-09-18 | Rantala Juha T. | Methods and compounds for making coatings, waveguides and other optical devices |
US6844131B2 (en) | 2002-01-09 | 2005-01-18 | Clariant Finance (Bvi) Limited | Positive-working photoimageable bottom antireflective coating |
US7144827B2 (en) | 2002-01-17 | 2006-12-05 | Silecs Oy | Poly(organosiloxane) materials and methods for hybrid organic-inorganic dielectrics for integrated circuit applications |
US20050032357A1 (en) | 2002-01-17 | 2005-02-10 | Rantala Juha T. | Dielectric materials and methods for integrated circuit applications |
AU2003201435A1 (en) | 2002-01-17 | 2003-07-30 | Silecs Oy | Thin films and methods for the preparation thereof |
AU2003216067A1 (en) | 2002-01-17 | 2003-09-02 | Silecs Oy | Poly(organosiloxane) materials and methods for hybrid organic-inorganic dielectrics for integrated circuit applications |
CA2472713C (en) | 2002-01-18 | 2011-07-19 | Merck & Co., Inc. | N-(benzyl)aminoalkylcarboxylates, phosphinates, phosphonates and tetrazoles as edg receptor agonists |
JP2003211070A (en) | 2002-01-21 | 2003-07-29 | Toshiba Corp | Method for forming coating film, method for manufacturing semiconductor device and coating liquid |
CA2474913A1 (en) | 2002-02-05 | 2003-08-14 | Gencell Corporation | Silane coated metallic fuel cell components and methods of manufacture |
JPWO2003066750A1 (en) | 2002-02-06 | 2005-06-02 | 旭化成株式会社 | Coating composition for producing insulating thin film |
US7687590B2 (en) | 2002-02-27 | 2010-03-30 | Hitachi Chemical Company, Ltd. | Composition for forming silica based coating film, silica based coating film and method for preparation thereof, and electronic parts |
JP4110797B2 (en) | 2002-02-27 | 2008-07-02 | 日立化成工業株式会社 | Composition for forming silica-based film, method for producing silica-based film, and electronic component |
CN100491486C (en) | 2002-02-27 | 2009-05-27 | 日立化成工业株式会社 | Composition for forming silica based coating film, silica based coating film and method for preparation thereof, and electronic parts |
US7682701B2 (en) | 2002-02-27 | 2010-03-23 | Hitachi Chemical Co., Ltd. | Composition for forming silica based coating film, silica based coating film and method for preparation thereof, and electronic parts |
JP3966026B2 (en) | 2002-03-06 | 2007-08-29 | 日立化成工業株式会社 | Silica-based film forming composition, silica-based film and method for producing the same, and electronic component |
DE10213294B4 (en) | 2002-03-25 | 2015-05-13 | Osram Gmbh | Use of a UV-resistant polymer in optoelectronics and outdoor applications, UV-resistant polymer and optical component |
US6906157B2 (en) | 2002-04-09 | 2005-06-14 | Eastman Kodak Company | Polymer particle stabilized by dispersant and method of preparation |
US7381441B2 (en) | 2002-04-10 | 2008-06-03 | Honeywell International Inc. | Low metal porous silica dielectric for integral circuit applications |
US6984476B2 (en) | 2002-04-15 | 2006-01-10 | Sharp Kabushiki Kaisha | Radiation-sensitive resin composition, forming process for forming patterned insulation film, active matrix board and flat-panel display device equipped with the same, and process for producing flat-panel display device |
US6730454B2 (en) | 2002-04-16 | 2004-05-04 | International Business Machines Corporation | Antireflective SiO-containing compositions for hardmask layer |
JP4142643B2 (en) | 2002-04-18 | 2008-09-03 | エルジー・ケム・リミテッド | Organosilicate polymer and insulating film containing the same |
US6787281B2 (en) | 2002-05-24 | 2004-09-07 | Kodak Polychrome Graphics Llc | Selected acid generating agents and their use in processes for imaging radiation-sensitive elements |
US7307343B2 (en) * | 2002-05-30 | 2007-12-11 | Air Products And Chemicals, Inc. | Low dielectric materials and methods for making same |
US7122880B2 (en) | 2002-05-30 | 2006-10-17 | Air Products And Chemicals, Inc. | Compositions for preparing low dielectric materials |
JPWO2003102096A1 (en) | 2002-06-04 | 2005-09-29 | 富士通株式会社 | Antibacterial antifouling paint for building materials and building materials painted thereby |
US7897979B2 (en) | 2002-06-07 | 2011-03-01 | Semiconductor Energy Laboratory Co., Ltd. | Light emitting device and manufacturing method thereof |
KR100515583B1 (en) | 2002-06-27 | 2005-09-20 | 주식회사 엘지화학 | Organic silicate polymer and insulation film comprising the same |
JP3631236B2 (en) | 2002-07-12 | 2005-03-23 | 東京応化工業株式会社 | Method for producing silica-based organic coating |
CN1248556C (en) | 2002-08-05 | 2006-03-29 | 佳能株式会社 | Underlying pattern forming material for electrode and wiring material absorption and application thereof |
US6896821B2 (en) | 2002-08-23 | 2005-05-24 | Dalsa Semiconductor Inc. | Fabrication of MEMS devices with spin-on glass |
DE10242418A1 (en) | 2002-09-12 | 2004-03-25 | Wacker-Chemie Gmbh | Process for the production of organopolysiloxane resin |
KR100489590B1 (en) | 2002-09-19 | 2005-05-16 | 엘지.필립스 엘시디 주식회사 | Transmissive Type Organic Electroluminescent Device and method for fabricating the same |
JP4032234B2 (en) | 2002-09-30 | 2008-01-16 | 信越化学工業株式会社 | Silicon-containing polymerizable compound, method for producing the same, polymer compound, resist material, and pattern forming method |
US20040067437A1 (en) | 2002-10-06 | 2004-04-08 | Shipley Company, L.L.C. | Coating compositions for use with an overcoated photoresist |
US7005390B2 (en) | 2002-10-09 | 2006-02-28 | Intel Corporation | Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials |
KR100860523B1 (en) | 2002-10-11 | 2008-09-26 | 엘지디스플레이 주식회사 | In plane switching mode liquid crystal display device and fabrication method thereof |
US7038328B2 (en) | 2002-10-15 | 2006-05-02 | Brewer Science Inc. | Anti-reflective compositions comprising triazine compounds |
US6783468B2 (en) | 2002-10-24 | 2004-08-31 | Acushnet Company | Low deformation golf ball |
US7122384B2 (en) | 2002-11-06 | 2006-10-17 | E. I. Du Pont De Nemours And Company | Resonant light scattering microparticle methods |
JP2004161875A (en) | 2002-11-13 | 2004-06-10 | Shin Etsu Chem Co Ltd | Composition for forming porous film, porous film and its manufacturing process, interlayer dielectrics and semiconductor device |
US7465414B2 (en) | 2002-11-14 | 2008-12-16 | Transitions Optical, Inc. | Photochromic article |
JP2004177952A (en) | 2002-11-20 | 2004-06-24 | Rohm & Haas Electronic Materials Llc | Multilayer photoresist system |
TWI230292B (en) | 2002-12-09 | 2005-04-01 | Lg Philips Lcd Co Ltd | Array substrate having color filter on thin film transistor structure for LCD device and method of fabricating the same |
KR100887671B1 (en) | 2002-12-23 | 2009-03-11 | 엘지디스플레이 주식회사 | Array substrate for LCD and method for fabricating of the same |
US7133088B2 (en) | 2002-12-23 | 2006-11-07 | Lg.Philips Lcd Co., Ltd. | Liquid crystal display device and method of fabricating the same |
KR20040061292A (en) | 2002-12-30 | 2004-07-07 | 엘지.필립스 엘시디 주식회사 | A method of fabricating liquid crystal display device |
US7018779B2 (en) | 2003-01-07 | 2006-03-28 | International Business Machines Corporation | Apparatus and method to improve resist line roughness in semiconductor wafer processing |
US7915181B2 (en) | 2003-01-25 | 2011-03-29 | Honeywell International Inc. | Repair and restoration of damaged dielectric materials and films |
US20040166434A1 (en) | 2003-02-21 | 2004-08-26 | Dammel Ralph R. | Photoresist composition for deep ultraviolet lithography |
US7507783B2 (en) | 2003-02-24 | 2009-03-24 | Brewer Science Inc. | Thermally curable middle layer comprising polyhedral oligomeric silsesouioxanes for 193-nm trilayer resist process |
US6902861B2 (en) | 2003-03-10 | 2005-06-07 | Kodak Polychrome Graphics, Llc | Infrared absorbing compounds and their use in photoimageable elements |
JP2004269693A (en) * | 2003-03-10 | 2004-09-30 | Shin Etsu Chem Co Ltd | Composition for forming porous film and method for producing the composition, method for producing the porous film, the resultant porous film, interlaminar film and semiconductor device |
US7354751B2 (en) | 2003-03-12 | 2008-04-08 | Mitsukan Group Corporation | Alcohol dehydrogenase gene of acetic acid bacterium |
JP3674041B2 (en) | 2003-03-13 | 2005-07-20 | 日立化成工業株式会社 | Composition for forming silica-based film, silica-based film and method for forming the same, and electronic component including silica-based film |
JP2004307694A (en) | 2003-04-09 | 2004-11-04 | Shin Etsu Chem Co Ltd | Composition for forming porous film, method for producing porous film, porous film, interlayer dielectric film and semiconductor device |
KR100645682B1 (en) | 2003-04-17 | 2006-11-13 | 주식회사 엘지화학 | Organic Siloxane Resins and Insulating Film Using the Same |
US7060637B2 (en) | 2003-05-12 | 2006-06-13 | Micron Technology, Inc. | Methods of forming intermediate semiconductor device structures using spin-on, photopatternable, interlayer dielectric materials |
EP1479734B1 (en) | 2003-05-20 | 2009-02-11 | DSM IP Assets B.V. | Nano-structured surface coating process, nano-structured coatings and articles comprising the coating |
KR100519948B1 (en) | 2003-05-20 | 2005-10-10 | 엘지.필립스 엘시디 주식회사 | crystallization process of amorphous silicon and switching device using the same |
US7202013B2 (en) | 2003-06-03 | 2007-04-10 | Shin-Etsu Chemical Co., Ltd. | Antireflective film material, and antireflective film and pattern formation method using the same |
KR100882409B1 (en) | 2003-06-03 | 2009-02-05 | 신에쓰 가가꾸 고교 가부시끼가이샤 | Antireflective Silicone Resin, Antireflective Film Material, and Antireflective Film and Pattern Formation Method Using the Same |
US7008476B2 (en) | 2003-06-11 | 2006-03-07 | Az Electronic Materials Usa Corp. | Modified alginic acid of alginic acid derivatives and thermosetting anti-reflective compositions thereof |
US6899988B2 (en) | 2003-06-13 | 2005-05-31 | Kodak Polychrome Graphics Llc | Laser thermal metallic donors |
KR100507967B1 (en) | 2003-07-01 | 2005-08-10 | 삼성전자주식회사 | Siloxane-based Resin and Semiconductive Interlayer Insulating Film Using the Same |
KR100504291B1 (en) | 2003-07-14 | 2005-07-27 | 삼성전자주식회사 | Siloxane-based Resin containing Germanium and Method of Semiconductor Interlayer Insulating Film Using the Same |
US7109519B2 (en) | 2003-07-15 | 2006-09-19 | 3M Innovative Properties Company | Bis(2-acenyl)acetylene semiconductors |
JP2005049542A (en) | 2003-07-31 | 2005-02-24 | Fuji Photo Film Co Ltd | Picture forming method and developer |
WO2005017058A1 (en) | 2003-08-01 | 2005-02-24 | Dow Corning Corporation | Silicone based dielectric coatings and films for photovoltaic applications |
US6942083B2 (en) | 2003-08-07 | 2005-09-13 | Ford Global Technologies, Llc | Viscous fan clutch actuated by a heating element and ambient air |
US7172849B2 (en) | 2003-08-22 | 2007-02-06 | International Business Machines Corporation | Antireflective hardmask and uses thereof |
JP2005099693A (en) | 2003-09-05 | 2005-04-14 | Hitachi Chem Co Ltd | Composition for forming antireflection film, method for manufacturing antireflection film using the same, optical components and solar battery unit |
US7622399B2 (en) | 2003-09-23 | 2009-11-24 | Silecs Oy | Method of forming low-k dielectrics using a rapid curing process |
US7270931B2 (en) | 2003-10-06 | 2007-09-18 | International Business Machines Corporation | Silicon-containing compositions for spin-on ARC/hardmask materials |
US20050074981A1 (en) | 2003-10-06 | 2005-04-07 | Meagley Robert P. | Increasing the etch resistance of photoresists |
WO2005037907A1 (en) | 2003-10-07 | 2005-04-28 | Honeywell International Inc. | Coatings and hard mask compositions for integrated circuit applications, methods of production and uses thereof |
EP1672427A4 (en) | 2003-10-07 | 2010-01-13 | Hitachi Chemical Co Ltd | Radiation-curing composition, method for storing same, method for forming cured film, method for forming pattern, method for using pattern, electronic component, and optical waveguide |
KR100979355B1 (en) | 2003-10-09 | 2010-08-31 | 삼성전자주식회사 | Multi-functional Cyclic Silicate compound, Siloxane Polymer prepared from the Compound and Process for preparing Dielectric Film by using the Polymer |
KR20050040275A (en) | 2003-10-28 | 2005-05-03 | 삼성전자주식회사 | Composition for forming dielectric film and method for forming dielectric film or pattern using the same |
JP4447283B2 (en) | 2003-11-05 | 2010-04-07 | 東京応化工業株式会社 | Coating liquid for silica-based film formation |
JP2005139265A (en) | 2003-11-05 | 2005-06-02 | Tokyo Ohka Kogyo Co Ltd | Coating liquid for forming silica-based film |
US8053159B2 (en) | 2003-11-18 | 2011-11-08 | Honeywell International Inc. | Antireflective coatings for via fill and photolithography applications and methods of preparation thereof |
US7470634B2 (en) | 2003-11-24 | 2008-12-30 | Samsung Electronics Co., Ltd. | Method for forming interlayer dielectric film for semiconductor device by using polyhedral molecular silsesquioxane |
US20050136687A1 (en) | 2003-12-19 | 2005-06-23 | Honeywell International Inc | Porous silica dielectric having improved etch selectivity towards inorganic anti-reflective coating materials for integrated circuit applications, and methods of manufacture |
TWI237892B (en) | 2004-01-13 | 2005-08-11 | Ind Tech Res Inst | Method of forming thin-film transistor devices with electro-static discharge protection |
US20070020628A1 (en) * | 2004-01-28 | 2007-01-25 | Helen Francis-Lang | Tkts as mondifiers of the beta-catenin pathway and methods of use |
JP4792732B2 (en) | 2004-11-18 | 2011-10-12 | 株式会社日立製作所 | Antireflection film, optical component using antireflection film, and image display device using antireflection film |
TWI382452B (en) | 2004-03-19 | 2013-01-11 | Samsung Display Co Ltd | Thin film transistor array panel and manufacturing method thereof |
US20070206283A1 (en) * | 2004-03-26 | 2007-09-06 | Fuji Photo Film Co., Ltd. | Production Method of Antireflection Film, Antireflection Film, Polarizing Plate and Image Display Device |
JP4494061B2 (en) | 2004-03-30 | 2010-06-30 | 東京応化工業株式会社 | Positive resist composition |
KR100569220B1 (en) | 2004-04-06 | 2006-04-10 | 한국과학기술원 | Dielectric/Barrier Rib Composition for Plasma Display Panel and Manufacturing Method thereof |
US7067841B2 (en) | 2004-04-22 | 2006-06-27 | E. I. Du Pont De Nemours And Company | Organic electronic devices |
US7177000B2 (en) | 2004-05-18 | 2007-02-13 | Automotive Systems Laboratory, Inc. | Liquid crystal display cell structure and manufacture process of a liquid crystal display comprising an opening formed through the color filter and partially the buffer layer |
EP1769018B1 (en) | 2004-07-16 | 2007-11-21 | Dow Corning Corporation | Radiation sensitive silicone resin composition |
US20070275257A1 (en) * | 2004-07-21 | 2007-11-29 | Catalysts & Chemicals Industries Co., Ltd | Silica-Based Particles, Method of Producing the Same, Paint for Forming Coating Film and Coated |
US7015061B2 (en) | 2004-08-03 | 2006-03-21 | Honeywell International Inc. | Low temperature curable materials for optical applications |
US20080157065A1 (en) | 2004-08-03 | 2008-07-03 | Ahila Krishnamoorthy | Compositions, layers and films for optoelectronic devices, methods of production and uses thereof |
JP2006045352A (en) | 2004-08-04 | 2006-02-16 | Hitachi Chem Co Ltd | Silica film-forming composition, silica film, its forming method and electronic part having silica film |
KR20060020830A (en) | 2004-09-01 | 2006-03-07 | 삼성코닝 주식회사 | Method for preparing surfactant-templated, mesostructured thin film with low dielectric constant |
KR100699996B1 (en) | 2004-09-02 | 2007-03-26 | 삼성에스디아이 주식회사 | OLED and fabricating method of the same having circuit measuring pad |
US20060047034A1 (en) | 2004-09-02 | 2006-03-02 | Haruaki Sakurai | Composition for forming silica-based film, method of forming silica-based film, and electronic component provided with silica-based film |
JP5143334B2 (en) | 2004-09-07 | 2013-02-13 | 日立化成工業株式会社 | Composition for forming silica-based film, silica-based film and method for forming the same, and electronic component provided with silica-based film |
JP2005042118A (en) | 2004-09-07 | 2005-02-17 | Hitachi Chem Co Ltd | Composition for forming silica-based coating film, manufacturing method for silica-based coating film, and electronic part |
JP2005105281A (en) | 2004-10-15 | 2005-04-21 | Hitachi Chem Co Ltd | Silicious film-forming composition, silicious film and its forming method, and electronic part having silicious film |
JP2005105282A (en) | 2004-10-15 | 2005-04-21 | Hitachi Chem Co Ltd | Silicious film-forming composition, silicious film and its forming method, and electronic part having silicious film |
JP2005105283A (en) | 2004-10-27 | 2005-04-21 | Hitachi Chem Co Ltd | Silicious film-forming composition, silicious film and its forming method, and electronic part having silicious film |
JP2005105284A (en) | 2004-10-29 | 2005-04-21 | Hitachi Chem Co Ltd | Compositions for forming silica-based coating film, silica-based coating film and method of manufacturing silica-based coating film, and electronic parts |
JP2005072615A (en) | 2004-10-29 | 2005-03-17 | Hitachi Chem Co Ltd | Silica-based film forming composition, silica-based film, method of manufacturing silica-based film, and electronic part |
JP5143335B2 (en) | 2004-11-12 | 2013-02-13 | 日立化成工業株式会社 | Composition for forming silica-based film, silica-based film and method for forming the same, and electronic component provided with silica-based film |
JP2005136429A (en) | 2004-11-12 | 2005-05-26 | Hitachi Chem Co Ltd | Silica coat formation composition, silica coat and its formation method, and electronic component having silica coat |
JP2006183029A (en) | 2004-11-30 | 2006-07-13 | Hitachi Chem Co Ltd | Silica-based coating film-forming composition, method for forming silica-based coating film, the resultant silica-based coating film, and electronic component |
JP2006183028A (en) | 2004-11-30 | 2006-07-13 | Hitachi Chem Co Ltd | Silica-based coating film-forming composition, method for forming silica-based coating film, the resultant silica-based coating film, and electronic component |
KR20060068348A (en) | 2004-12-16 | 2006-06-21 | 삼성코닝 주식회사 | Siloxane-based polymer and method for preparing dielectric film by using the polymer |
US20060132459A1 (en) | 2004-12-20 | 2006-06-22 | Huddleston Wyatt A | Interpreting an image |
JP2006213908A (en) | 2004-12-21 | 2006-08-17 | Hitachi Chem Co Ltd | Composition for forming silica-based film, method for forming silica-based film, silica-based film and electronic part |
KR100996324B1 (en) | 2004-12-21 | 2010-11-23 | 히다치 가세고교 가부시끼가이샤 | Film, silica film and method of forming the same, composition for forming silica film, and electronic part |
JP2006178466A (en) | 2004-12-22 | 2006-07-06 | Rohm & Haas Electronic Materials Llc | Method for forming optical dry-film and optical device with dry-film |
JP2006182811A (en) | 2004-12-24 | 2006-07-13 | Tokyo Ohka Kogyo Co Ltd | Coating liquid for forming silica-based film |
US7374812B2 (en) | 2004-12-30 | 2008-05-20 | 3M Innovative Properties Company | Low refractive index coating composition for use in antireflection polymer film coatings and manufacturing method |
US7323514B2 (en) | 2004-12-30 | 2008-01-29 | 3M Innovative Properties Company | Low refractive index fluoropolymer coating compositions for use in antireflective polymer films |
US20060155594A1 (en) | 2005-01-13 | 2006-07-13 | Jess Almeida | Adaptive step-by-step process with guided conversation logs for improving the quality of transaction data |
KR101119141B1 (en) | 2005-01-20 | 2012-03-19 | 삼성코닝정밀소재 주식회사 | Composition for forming low dielectric film comprising polymeric nanoparticles and method for preparing low dielectric thin film using the same |
JP4513966B2 (en) | 2005-03-07 | 2010-07-28 | 信越化学工業株式会社 | Primer composition and electrical and electronic parts using the same |
JP2006249181A (en) | 2005-03-09 | 2006-09-21 | Fuji Photo Film Co Ltd | Method for producing composition for forming insulating material, composition for forming insulating material and insulating film using the same |
EP1920023A4 (en) | 2005-05-31 | 2009-08-19 | Xerocoat Inc | Control of morphology of silica films |
US8029871B2 (en) * | 2005-06-09 | 2011-10-04 | Hoya Corporation | Method for producing silica aerogel coating |
KR20060134304A (en) | 2005-06-22 | 2006-12-28 | 삼성전자주식회사 | Liquid crystal display |
US20070004587A1 (en) | 2005-06-30 | 2007-01-04 | Intel Corporation | Method of forming metal on a substrate using a Ruthenium-based catalyst |
KR20070010618A (en) | 2005-07-19 | 2007-01-24 | 삼성전자주식회사 | Display device and thin film transistor array panel |
JP4677937B2 (en) | 2005-07-20 | 2011-04-27 | セイコーエプソン株式会社 | Film pattern forming method, device, electro-optical device, electronic apparatus, and active matrix substrate manufacturing method |
KR101209046B1 (en) | 2005-07-27 | 2012-12-06 | 삼성디스플레이 주식회사 | Thin film transistor substrate and method of making thin film transistor substrate |
US20070023864A1 (en) | 2005-07-28 | 2007-02-01 | International Business Machines Corporation | Methods of fabricating bipolar transistor for improved isolation, passivation and critical dimension control |
KR20070014281A (en) | 2005-07-28 | 2007-02-01 | 삼성전자주식회사 | Liquid crystal display |
KR20070014579A (en) | 2005-07-29 | 2007-02-01 | 삼성전자주식회사 | Organic thin film transistor array panel and method for manufacturing the same |
KR20070015314A (en) | 2005-07-30 | 2007-02-02 | 삼성전자주식회사 | Liquid crystal display and manufacturing method for the same |
KR100683791B1 (en) | 2005-07-30 | 2007-02-20 | 삼성에스디아이 주식회사 | Thin film transistor substrate and flat panel display apparatus |
JP4039446B2 (en) | 2005-08-02 | 2008-01-30 | エプソンイメージングデバイス株式会社 | Electro-optical device and electronic apparatus |
KR101237011B1 (en) | 2005-08-02 | 2013-02-26 | 삼성디스플레이 주식회사 | Liquid crystal display |
KR101247698B1 (en) | 2005-08-05 | 2013-03-26 | 삼성디스플레이 주식회사 | Liquid crystal display |
US20070030428A1 (en) | 2005-08-05 | 2007-02-08 | Samsung Electronics Co., Ltd. | Liquid crystal display |
KR101240644B1 (en) | 2005-08-09 | 2013-03-11 | 삼성디스플레이 주식회사 | Thin film transistor array panel |
TWI340607B (en) | 2005-08-12 | 2011-04-11 | Au Optronics Corp | Organic electroluminescent display panel and fabricating method thereof |
KR20070019457A (en) | 2005-08-12 | 2007-02-15 | 삼성전자주식회사 | Thin film transistor panel and liquid crystal display device comprising the same |
US20070074757A1 (en) | 2005-10-04 | 2007-04-05 | Gurdian Industries Corp | Method of making solar cell/module with porous silica antireflective coating |
US8153282B2 (en) | 2005-11-22 | 2012-04-10 | Guardian Industries Corp. | Solar cell with antireflective coating with graded layer including mixture of titanium oxide and silicon oxide |
DE102006016474A1 (en) * | 2006-04-07 | 2007-10-11 | Ivoclar Vivadent Ag | Dental materials containing hydrophobic, nanoparticulate silicic acid cocondensates and their use |
US20080032052A1 (en) | 2006-08-04 | 2008-02-07 | Kostantinos Kourtakis | Low refractive index composition |
US20080185041A1 (en) | 2007-02-02 | 2008-08-07 | Guardian Industries Corp. | Method of making a photovoltaic device with antireflective coating containing porous silica and resulting product |
JP2008205008A (en) * | 2007-02-16 | 2008-09-04 | Shin Etsu Chem Co Ltd | Composition for forming inter-semiconductor-layer insulating film, method for manufacturing the same, film forming method, and semiconductor device |
US8642246B2 (en) | 2007-02-26 | 2014-02-04 | Honeywell International Inc. | Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof |
US7767253B2 (en) * | 2007-03-09 | 2010-08-03 | Guardian Industries Corp. | Method of making a photovoltaic device with antireflective coating |
JP5231748B2 (en) * | 2007-04-03 | 2013-07-10 | 信越化学工業株式会社 | Method for producing zeolite fine particles, stabilized zeolite and method for using the same |
JP5194563B2 (en) * | 2007-05-28 | 2013-05-08 | 信越化学工業株式会社 | Scratch resistant coating composition and coated article |
US20090026924A1 (en) | 2007-07-23 | 2009-01-29 | Leung Roger Y | Methods of making low-refractive index and/or low-k organosilicate coatings |
US7931940B2 (en) | 2007-08-28 | 2011-04-26 | Hoya Corporation | Production method of silica aerogel film, anti-reflection coating and optical element |
JP5218411B2 (en) | 2007-09-06 | 2013-06-26 | コニカミノルタアドバンストレイヤー株式会社 | Optical film, polarizing plate and liquid crystal display device |
US20090101209A1 (en) | 2007-10-19 | 2009-04-23 | Guardian Industries Corp. | Method of making an antireflective silica coating, resulting product, and photovoltaic device comprising same |
US20090101203A1 (en) | 2007-10-23 | 2009-04-23 | Guardian Industries Corp. | Method of making an antireflective silica coating, resulting product, and photovoltaic device comprising same |
WO2009069712A1 (en) * | 2007-11-30 | 2009-06-04 | Nissan Chemical Industries, Ltd. | Blocked isocyanato bearing silicon containing composition for the formation of resist undercoat |
US8586144B2 (en) * | 2008-03-25 | 2013-11-19 | Pentax Ricoh Imaging Company, Ltd. | Method for forming anti-reflection coating and optical element |
US20100002714A1 (en) * | 2008-07-01 | 2010-01-07 | George Madathilparambil George | PCI express network |
US20100027144A1 (en) | 2008-07-31 | 2010-02-04 | Guardian Industries Corp. | Articles with protective coating |
US8092905B2 (en) | 2008-10-10 | 2012-01-10 | E.I Du Pont De Nemours And Company | Compositions containing multifunctional nanoparticles |
JP2011002644A (en) * | 2009-06-18 | 2011-01-06 | Konica Minolta Business Technologies Inc | Display particle for image display and image display |
JP3154007U (en) | 2009-07-16 | 2009-09-24 | 岳雨 王 | Pet bridging |
-
2010
- 2010-06-08 US US12/796,199 patent/US8557877B2/en not_active Expired - Fee Related
- 2010-06-09 EP EP10786741A patent/EP2412035A4/en not_active Withdrawn
- 2010-06-09 CN CN201080017276.0A patent/CN102405533B/en not_active Expired - Fee Related
- 2010-06-09 WO PCT/US2010/037898 patent/WO2010144527A2/en active Application Filing
- 2010-06-09 JP JP2012515094A patent/JP2012529679A/en not_active Withdrawn
-
2013
- 2013-09-11 US US14/023,616 patent/US8784985B2/en not_active Expired - Fee Related
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
GB2424382A (en) | 2005-02-25 | 2006-09-27 | Asahi Chemical Ind | Antireflective coatings |
WO2006122308A2 (en) | 2005-05-11 | 2006-11-16 | Yazaki Corporation | Antireflective coating compositions and methods for depositing such coatings |
DE102006046726A1 (en) | 2006-10-02 | 2008-04-03 | Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. | Silicon-based solar cell comprises front-end contacts that are placed on a front-end doped surface layer and a passivation layer with backside contacts that is placed on the backside doped layer |
US20080295884A1 (en) | 2007-05-29 | 2008-12-04 | Sharma Pramod K | Method of making a photovoltaic device or front substrate with barrier layer for use in same and resulting product |
Non-Patent Citations (2)
Title |
---|
G. WU ET AL.: "A novel route to control refractive index of sol-gel derived nanoporous films used a broadband antireflective coatings", MATERIALS SCIENCE AND ENGINEERING, vol. B78, 2000, pages 135 - 139, XP004227455, DOI: doi:10.1016/S0921-5107(00)00529-8 |
See also references of EP2412035A4 |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2015534652A (en) * | 2012-08-31 | 2015-12-03 | ハネウェル・インターナショナル・インコーポレーテッド | High durability anti-reflective coating |
JP2014071394A (en) * | 2012-10-01 | 2014-04-21 | Hoya Corp | Method of manufacturing spectacle lens |
Also Published As
Publication number | Publication date |
---|---|
CN102405533B (en) | 2016-05-18 |
JP2012529679A (en) | 2012-11-22 |
EP2412035A2 (en) | 2012-02-01 |
US8784985B2 (en) | 2014-07-22 |
US8557877B2 (en) | 2013-10-15 |
US20100313950A1 (en) | 2010-12-16 |
WO2010144527A3 (en) | 2011-04-07 |
US20140011019A1 (en) | 2014-01-09 |
CN102405533A (en) | 2012-04-04 |
EP2412035A4 (en) | 2012-11-21 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US8784985B2 (en) | Anti-reflective coatings for optically transparent substrates | |
EP3271756B1 (en) | Novel carbosiloxane polymer compositions, methods of producing the same and the use thereof | |
US8563129B2 (en) | Polysilazane-containing coatings for increasing the conversion efficiency of encapsulated solar cells | |
KR101864458B1 (en) | Low refractive index film-forming composition and method of forming low refractive index film using the same | |
US8864898B2 (en) | Coating formulations for optical elements | |
WO2015141240A1 (en) | Aqueous coating agent, film, film production method, laminate, and solar cell module | |
US9971065B2 (en) | Anti-reflection glass made from sol made by blending tri-alkoxysilane and tetra-alkoxysilane inclusive sols | |
CN111849349A (en) | Antireflection coating liquid, preparation method thereof, antireflection coated glass and photovoltaic module | |
EP2669259A1 (en) | Coated article comprising a hydrophobic anti-reflection surface, and methods for making the same | |
KR20170126138A (en) | a coating composition for anti-reflection | |
EP2738145A1 (en) | Method of making hydrophobic coated article, coated article including hydrophobic coatings, and/or sol compositions for use in the same | |
CN114292485A (en) | Antibacterial adhesion-resistant hydrophobic anti-reflection material and preparation method and application thereof | |
CN115491123A (en) | Self-cleaning hydrophobic non-dusting nano protective coating for photovoltaic glass and preparation method thereof | |
JP2012246440A (en) | Inorganic coating composition | |
JP2015534652A (en) | High durability anti-reflective coating | |
CN104101918A (en) | Optical antireflection film and preparation method thereof, and optical assembly | |
CN111875804A (en) | Hole sealing agent, preparation method thereof and antireflection coating liquid | |
KR20120126068A (en) | Outdoor device, and antireflective layer for outdoor device | |
KR102174467B1 (en) | a coating composition for anti-reflection | |
EP2662344A1 (en) | Anti-reflection glass with tin oxide nanoparticles | |
CN117285258A (en) | Anti-reflection film and preparation method thereof | |
WO2017011221A1 (en) | Anti-reflective coating for sapphire | |
EP3406673B1 (en) | Anti-reflection coating composition and anti-reflection film utilizing same | |
TR202019440A1 (en) | Anti Reflective Silica Based Temperable Coating Solution |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
WWE | Wipo information: entry into national phase |
Ref document number: 201080017276.0 Country of ref document: CN |
|
121 | Ep: the epo has been informed by wipo that ep was designated in this application |
Ref document number: 10786741 Country of ref document: EP Kind code of ref document: A2 |
|
WWE | Wipo information: entry into national phase |
Ref document number: 2010786741 Country of ref document: EP |
|
WWE | Wipo information: entry into national phase |
Ref document number: 2012515094 Country of ref document: JP |
|
NENP | Non-entry into the national phase |
Ref country code: DE |